版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、一、 实验目的:1熟悉计数器的工作原理,掌握中规模计数器(MSI)逻辑功能及其应用。2掌握计数器的级联方法,并会用中规模计数器(MSI)实现任意进制计数器。二 实验仪器1 万用表一块一台一台一台一台一块2. 直流稳压电源3. 函数信号发生器4. 双踪示波器5. 逻辑分析仪6. 数字电路实验板三实验容1.用 VHDL语言描述模50 1HZ显示电路,在数码管上观察输出状态变化。2.设计一个计数型序列码产生电路,产生的序列码(输出Z)为1101000101。要求用FPGA 实现,并在实验箱上测试其功能,时钟设置为1KHZ,在示波器上双踪观察并记录CP,Z 的波形。四实验结果1VHDL语言描述libr
2、ary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity m50 isport(clk : in bit;rst : in bit;en:in bit;rco:out bit;q:out std_logic_vector(5 downto 0);end m50;architecture one of m50 issignal temp_q:std_logic_vector(5 downto 0);beginprocess(clk,rst)beginif (rst=0)thentemp_q=000000
3、;rco=0;elsif (clkevent and clk=1)thenif(en=1)thenif(temp_q110001)thentemp_q=temp_q+1;elsetemp_q=000000;end if;elsetemp_q=000000;end if;end if;if(temp_q=110001) thenrco=1;elserco=0;end if;end process;q=temp_q;end one;仿真波形:功能仿真时序仿真2.VHDL 语言描述library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_
4、unsigned.all;entity xuliema isport(clk : in std_logic;z: out std_logic);end xuliema;architecture one of xuliema istype state_type is (s0,s1,s2,s3,s4,s5,s6,s7,s8,s9);signal current_state,next_state:state_type;beginprocessbeginwait until (clkevent and clk=1);current_statenext_state=s1;znext_state=s2;znext_state=s3;znext_state=s4;znext_state=s5;znext_state=s6;znext_state=s7;znext_state=s8;znext_s
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 科研项目统计与评估制度
- 农业智能监控行业营销策略方案
- 2024年农产品养殖销售合同
- 2024年企业信息化建设与维护合同
- 供应方平台(SSP)行业营销策略方案
- 2024年固定合同期限工作协议
- 卫生设备的安装和修理行业营销策略方案
- 2024年同行保密:IT行业专属保密协议书
- 会务实训项目及案例
- 计算机显示屏项目运营指导方案
- 辽宁省大连市金普新区2024-2025学年七年级上学期11月期中英语试题(无答案)
- 河南科技大学《材料科学基础》2021-2022学年第一学期期末试卷
- 区病案质控中心汇报
- 北京市《配电室安全管理规范》(DB11T 527-2021)地方标准
- 第七讲社会主义现代化建设的教育、科技、人才战略教学课件
- 少先队鼓号队常用鼓号曲谱
- 艾滋病实验室检测规范要求及方法选择与质量控制
- 废旧设备回收拆除施工方案(完整版)
- 竞业限制协议
- 2021年中小学图书室(馆)配备标准
- 常用链轮尺寸计算表
评论
0/150
提交评论