微电子学词典_第1页
微电子学词典_第2页
微电子学词典_第3页
微电子学词典_第4页
微电子学词典_第5页
已阅读5页,还剩13页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、微电子学词典Abrupt junction 突变结Acceptor 受主Accumulation 积累、堆积Accumulation region 积累区Active region 有源区Active device有源器件Activation energy 激活能Admittance 导纳Alloy-junction device 合金结器件Aluminum - oxide 铝氧化物Ambipolar双极的Amorphous无定形的,非晶体的Accelerated testing 加速实验 Acceptor atom受主原子Accumulating contact 积累接触 Accumulat

2、ion layer 积累层Active component 有源元Activation 激活Active region有源(放大)区Allowed band 允带Aluminum(Aluminium)铝Aluminum passivation 铝钝化 Ambient temperature 环境温度 Amplifier功放扩音器放大器Anisotropic各向异性的Arsenic (AS)砷Auger process俄歇过程Avalanche breakdown 雪崩击穿Background carrier 本底载流子Background doping 本底掺杂Backward bias反向偏

3、置Ball bond球形键合Band gap能带间隙Barrier layer 势垒层Base contact基区接触Base transit time基区渡越时间Analogue(Analog) comparator 模拟比较器 Angstrom 埃Anneal退火Anode阳极Auger俄歇Avalanche 雪崩Avalanche excitation 雪崩激发Buried diff隐埋扩散区Backward 反向Ballasting resistor 整流电阻Band能带Barrier 势垒Barrier width势垒宽度Base stretching基区扩展效应Base trans

4、port efficiency 基区输运系数Base-width modulation 基区宽度调制 Basis vector 基矢Bias 偏置Bilateral switch 双向开关Binary code二进制代码Bipolar双极性的Binary compound semiconductor 二元化合物半导体Bipolar Junction Transistor (BJT)双 极晶体管Bloch布洛赫Blocking band阻挡能带Blocking contact 阻挡接触Body - centered 体心立方Body-centred cubic structure 体立心结构 B

5、oltzmann 波尔兹曼Bond 键、键合Bonding electron 价电子Bonding pad 键合点Bootstrap circuit 自举电路Bootstrapped emitter follower 自举射极跟随器Boron 硼Borosilicate glass 硼硅玻璃Bound electron 束缚电子Break down 击穿Brillouin布里渊Built-in内建的Bulk体/体内Bulk generation 体产生Burn - in 老化Buried channel 埋沟Boundary condition 边界条件Breadboard模拟板、实验板Bre

6、ak over 转折Brillouin zone 布里渊区Build-in electric field 内建电场Bulk absorption 体吸收Bulk recombination 体复合Burn out 烧毁Can夕卜壳Capacitance 电容Capture carrier俘获载流子Carry bit进位位Carry-out bit进位输出Ceramic陶瓷(的)Channel breakdown 沟道击穿Channel doping 沟道掺杂Channel width沟道宽度Case官冗Capture cross section 俘获截面Carrier载流子、载波Carry-i

7、n bit进位输入Cascade 级联Channel 沟道Channel current 沟道电流Channel shortening 沟道缩短Characteristic impedance 特征阻抗Charge-compensation effects 电荷补偿效应Charge conservation 电荷守恒Charge neutrality condition 电中性条件Charge drive/exchange/sharing/transfer/storage 电荷驱动/交换/共享/转移/存储Chemmical etching 化学腐蚀法Chemically-Polish 化学抛光

8、Chemmically-Mechanically Polish (CMP)化学机械抛光Clamped 箝位Cleavage plane 解理面Clock generator时钟发生器Close-packed structure 密堆积结构Collector集电极Compensated OP-AMP 补偿运放Chip yield芯片成品率Clamping diode 箝位二极管Clock rate时钟频率Clock flip-flop时钟触发器Close-loop gain 闭环增益Collision 碰撞Common-base/collector/emitter connection 共基极/

9、集电极/发射极连接Common-gate/drain/source connection 共栅/漏/源连接Common-mode gain 共模增益Common-mode input 共模输入Common-mode rejection ratio (CMRR)共模抑制比Compatibility 兼容性Compensation 补偿Compensated impurities 补偿杂质Compensated semiconductor 补偿半导体Complementary Darlington circuit 互补达林顿电路Complementary Metal-Oxide-Semicondu

10、ctor Field-Effect-Transistor(CMOS)互补金属 氧化物半导体场效应晶体管Complementary error function 余误差函数Computer-aided design (CAD)/test(CAT)/manufacture(CAM)计算机辅助设计/测试 /制造Compound Semiconductor 化合物半导体 Conductance 电导Conduction band (edge) 导带(底)Conduction level/state 导带态Conductor 导体Conductivity 电导率Configuration 组态Conlo

11、mb 库仑Conpled Configuration Devices 结构组态 Constants 物理常数Constant energy surface 等能面Contact 接触 Contamination 治污Contact hole 接触孔Continuity condition 连续性条件Converter转换器Constant-source diffusion 恒定源扩散Continuity equation 连续性方程 Contact potential 接触电势 Contra doping 反掺杂Conveyer传输器Copper interconnection system

12、铜互连系统Couping 耦合Covalent 共阶的Crossover 跨交Critical 临界的Crossunder 穿交Crucible 坩埚Cut off 截止Crystal defect/face/orientation/lattice 晶体缺陷/晶面/晶向/晶格Current density 电流密度Curvature 曲率Current drift/dirve/sharing 电流漂移/驱动/共享Current Sense 电流取样Curvature 弯曲Custom integrated circuit 定制集成电路 Cylindrical 柱面的Czochralshicry

13、stal 直立单晶Czochralski technique切克劳斯基技术(Cz法直拉晶体J)Cathode 阴极Dangling bonds 悬挂键Dead time空载时间De.broglie德布洛意Decibel (dB)分贝Deep acceptor level 深受主能级Deep impurity level深度杂质能级Defeat缺陷Dark current 暗电流Debye length德拜长度Decderate 减速Decode译码Deep donor level深施主能级Deep trap深陷阱Degenerate semiconductor 简并半导体Degeneracy

14、简并度Degradation 退化Degree Celsius(centigrade) /Kelvin 摄氏/开氏温度Density of states 态密度Depletion approximation 耗尽近似Depletion depth 耗尽深度Depletion layer 耗尽层Depletion region 耗尽区Deposition process 淀积工艺Die芯片(复数dice)Dielectric 介电的Difference-mode input 差模输入Differential capacitance 微分电容Diffusion 扩散Diffusion consta

15、nt 扩散常数Delay延迟 Density密度Depletion 耗尽Depletion contact 耗尽接触Depletion effect 耗尽效应Depletion MOS 耗尽 MOSDeposited film 淀积薄膜Design rules设计规则Diode二极管Dielectric isolation 介质隔离Differential amplifier 差分放大器Diffused junction 扩散结Diffusion coefficient 扩散系数Diffusivity 扩散率Diffusion capacitance/barrier/current/furna

16、ce 扩散电容/势垒/电流/炉Digital circuit 数字电路Dipole domain 偶极畴Dipole layer 偶极层Direct-coupling 直接耦合Direct-gap semiconductor 直接带隙半导体 Direct transition 直接跃迁Discrete component 分立元件Distribution 分布Distributed model 分布模型Dislocation 位错Donor施主Dopant掺杂剂Doping concentration 掺杂浓度Discharge 放电Dissipation 耗散Distributed capa

17、citance 分布电容Displacement 位移Domain 域Donor exhaustion 施主耗尽Doped semiconductor 掺杂半导体Double-diffusive MOS(DMOS)双扩散 MOS Drift 漂移Drift field 漂移电场Drift mobility 迁移率Dry etching干法腐蚀Dry/wet oxidation干/湿法氧化Dose剂量Duty cycle工作周期Dual-in-line package (DIP)双列直插式封装 Dynamics 动态Dynamic characteristics 动态属性 Dynamic imp

18、edance 动态阻抗Early effect厄利效应Early failure早期失效Effective mass 有效质量Einstein relation(ship)爱因斯坦关系Electric Erase Programmable Read Only Memory(E2PROM) 一次性电可擦除只读存1储器Electrominggratim 电迁移Electrode 电极Electron affinity 电子亲和势Electronic -grade 电子能Electron-beam photo-resist exposure光致抗蚀剂的电子束曝光Electron gas 电子气Ele

19、ctron-grade water 电子级纯水Electron trapping center 电子俘获中心 Electron Volt (eV)电子伏Electrostatic静电的Element元素/元件/配件Elemental semiconductor 元素半导体Ellipse 椭圆Ellipsoid 椭球Emitter 发射极Emitter-coupled logic发射极耦合逻辑Emitter-coupled pair发射极耦合对Emitter follower 射随器Empty band 空带Emitter crowding effect发射极集边(拥挤)效应Endurance

20、test =life test 寿命测试 Energy state 能态Energy momentum diagram 能量-动量(E-K)图Enhancement MOS 增强性 Environmental test 环境测试 Epitaxial layer 夕卜延层 Expitaxy夕卜延Enhancement mode增强型模式MOS Entefic (低)共溶的Epitaxial外延的Epitaxial slice 外延片Equivalent curcuit 等效电路Equilibrium majority /minority carriers 平衡多数/少数载流子Erasable P

21、rogrammable ROM (EPROM)可 搽取(编程)存储器Error function complement 余误差函数Etchant刻蚀剂Excess carrier过乘。载流子Excited state 激发态Extrapolation 外推法Extrinsic semiconductor 杂质半导体Etch刻蚀Etching mask抗蚀剂掩模Excitation energy 激发能Exciton 激子Extrinsic非本征的Face - centered 面心立方Fan-in扇入Fast recovery 快恢复Feedback 反馈Fall time下降时间Fan-ou

22、t 扇出Fast surface states 快界面态Fermi level费米能级Field effect transistor 场效应晶体管Filled band 满带Flash memory闪烁存储器Flat pack扁平封装Flip-flop toggle触发器翻转Fluoride etch氟化氢刻蚀Forward bias正向偏置Fermi-Dirac Distribution 费米-狄拉克分布 Femi potential 费米势 Fick equation菲克方程(扩散)Field oxide场氧化层Film薄膜Flat band 平带Flicker noise闪烁(变)噪声F

23、loating gate 浮栅Forbidden band 禁带Forward blocking /conducting 正向阻断/导通Frequency deviation noise 频率漂移噪声 Frequency response 频率响应Function 函数Gain增益Gallium-Arsenide(GaAs)砷化钾Gamy ray r 射线Gate门、栅、控制极Gate oxide栅氧化层Gauss (ian)高斯Generation-recombination 产生-复合Gaussian distribution profile 高斯掺杂分布 Geometries 几何尺寸G

24、ermanium(Ge)锗Graded (gradual) channel 缓变沟道Grain晶粒Grown junction 生长结Gummel-Poom model 葛谋-潘模型Graded缓变的Graded junction 缓变结Gradient 梯度Guard ring保护环Gunn - effect狄氏效应Hardened device辐射加固器件Heat sink散热器、热沉Heavy saturation 重掺杂Heterojunction 异质结Heat of formation 形成热Heavy/light hole band 重/轻空穴带Hell - effect霍尔效应

25、Heterojunction structure 异质结结构Heterojunction Bipolar Transistor(HBT)异质结双极型晶体High field property 高场特性Hormalized 归一化High-performance MOS( H-MOS)高性能 MOSHorizontal epitaxial reactor 卧式外延反应器Hot carrior热载流子Hybrid integration 混合集成Image - force 镜象力Impedance 阻抗Implantation dose 注入剂量Impurity 杂质Incremental res

26、istance 电阻增量In-contact mask接触式掩模Induced channel 感应沟道Injection 注入Insulator绝缘体Impact ionization 碰撞电离Imperfect structure 不完整结构Implanted ion注入离子Impurity scattering 杂志散射(微分电阻)Indium tin oxide (ITO)铟锡氧化物Infrared红外的Input offset voltage输入失调电压Insulated Gate FET(IGFET)绝缘栅FET Integrated injection logic 集成注入逻辑

27、Integration 集成、积分Interconnection 互连Interconnection time delay 互连延时Interdigitated structure 交互式结构Interface 界面Interference 干涉Internally scattering 谷间散射International system of unions 国际单位制Intrinsic本征的Inverse operation 反向工作Inverter倒相器Ion beam离子束Ion implantation 离子注入Ionization energy 电离能Isolation land 隔离

28、岛Interpolation 内插法Intrinsic semiconductor 本征半导体Inversion 反型Ion离子Ion etching离子刻蚀Ionization 电离Irradiation 辐照Isotropic各向同性Junction FET(JFET)结型场效应管Junction spacing 结间距Junction isolation 结隔离Junction side-wall 结侧壁Lateral横向的Layout版图Latch up 闭锁Lattice 晶格Lattice binding/cell/constant/defect/distortion 晶格结合力/

29、晶胞/晶格/晶格常熟/晶格缺陷/晶格畸变Leakage current (泄)漏电流Level shifting 电平移动Life time 寿命linearity 线性度Linked bond 共价键Liquid Nitrogen 液氮Light Emitting Diode(LED)发光二极管 Locating and Wiring 布局布线 Logic swing逻辑摆幅Lumped model集总模型Liquid phase epitaxial growth technique 液相外延生长技术Lithography 光刻Load line or Variable 负载线Longitu

30、dinal 纵向的Lorentz洛沦兹Majority carrier多数载流子Mask level掩模序号Mass - action law质量守恒定律Matching 匹配Mask掩膜板,光刻板Mask set掩模组Master-slave D flip-flop 主从 D 触发器 Maxwell麦克斯韦Meandered emitter junction 梳状发射极结 Mean free path 平均自由程Mean time before failure (MTBF)平均工作时间Megeto - resistance 磁阻 Mesa 台面MESFET-Metal Semiconduct

31、or 金属半导体 FETMetallization 金属化Microelectronics 微电子学Minority carrier少数载流子Mismatching 失配Mobility迁移率Microelectronic technique 微电子技术Millen indices 密勒指数Misfit失配Mobile ions可动离子Module模块Modulate 调制Molecular crystal 分子晶体Monolithic IC 单片Transistor(MOST )MOS.晶体管IC MOSFET金属氧化物半导体场效应晶体管Mos.Multiplication 倍增Modula

32、tor 调制Multi-chip IC 多芯片 ICMulti-chip module(MCM)多芯片模块Multiplication coefficient 倍增因子Negative feedback 负反馈Negative resistance 负阻Nesting 套亥UNoise margin 噪声容限Negative-temperature-coefficient 负温度系数Nonequilibrium 非平衡Nonrolatile非挥发(易失)性Normally off/on 常闭/开Numerical analysis 数值分析Naked chip未封装的芯片(裸片)Occupie

33、d band 满带Officienay 功率Offset偏移、失调On standby待命状态Ohmic contact 欧姆接触Open circuit 开路Operating point 工作点Operating bias 工作偏置Operational amplifier (OPAMP)运算放大器 Optical photon =photon光子 Optical quenching 光猝灭 Optical-coupled isolator 光耦合隔离器 Orientation 晶向、定向 Out-of-contact mask非接触式掩模 Output voltage swing输出电压

34、摆幅 Over-current protection 过流保护 Over-voltage protection 过压保护Overload 过载Oxide氧化物Oxide passivation 氧化层钝化Optical transition 光跃迁Organic semiconductor 有机半导体Outline 外形Output characteristic 输出特性Overcompensation 过补偿Over shoot 过冲Overlap 交迭Oscillator 振荡器Oxidation 氧化Pad压焊点Parasitic effect 寄生效应Passination 钝化Pas

35、sive device 无源器件Parasitic transistor 寄生晶体管Permanent-storage circuit 永久存储电路Period周期Permeable - base可渗透基区Parameter 参数Parasitic oscillation 寄生振荡 Passive component 无源元件 Passive surface 钝化界面 Peak-point voltage 峰点电压 Peak voltage峰值电压 Periodic table 周期表 Phase-lock loop 锁相环Phase drift 相移Photo conduction 光电导

36、Photoelectric cell 光电池 Photoenic devices 光子器件 (photo) resist (光敏)抗腐蚀剂Phonon spectra 声子谱Photo diode光电二极管Photoelectric effect 光电效应Photolithographic process 光亥。工艺Pinch off 夹断Pinning of Fermi level费米能级的钉扎(效应)Planar process 平面工艺Planar transistor 平面晶体管Plasma 等离子体Plezoelectric effect 压电效应Poisson equation 泊

37、松方程Point contact 点接触Polarity 极性Polymer semiconductor 聚合物半导体Potential (电)势Potential well 势阱Power transistor功率晶体管Primary flat 主平面Print-circuit board(PCB)印制电路板 Probe探针Propagation delay 传输延时Polycrystal 多晶Poly-silicon 多晶硅 Potential barrier 势垒 Power dissipation 功耗 Preamplifier前置放大器 Principal axes 主轴 Proba

38、bility 几率 Process 工艺Pseudopotential method 膺势发Pulse triggering/modulating 脉冲触发/调制 PulseWiden Modulator(PWM)脉冲宽度调制Punch through 穿通Push-pull stage 推挽级Quality factor 品质因子Quantum 量子Quantum mechanics 量子力学Quartz石英Quantization 量子化Quantum efficiency 量子效应Quasi-Fermi-level 准费米能级Radiation conductivity 辐射电导率Rad

39、iation flux density 辐射通量密度Radiation protection 辐射保护Radioactive 放射性Radiation damage 辐射损伤Radiation hardening 辐射加固Radiative - recombination 辐照复合Reach through 穿通Punchthrough 穿通 Package 封装Recovery diode 恢复二极管Recovery time恢复时间Rectifying contact 整流接触Refractive index 折射率Registration 对准Reactive sputtering so

40、urce 反应溅射源 Read diode 里德二极管Recombination 复合Reciprocal lattice 倒核子Rectifier整流器(管)Reference基准点基准参考点Register寄存器Regulate控制调整Reliability 可靠性Resistance 电阻Resistivity 电阻率Relaxation 驰豫Response time响应时间Reverse bias反向偏置Relaxation lifetime 驰豫时间Resonance 谐振Resistor电阻器Regulator稳压管(器)Resonant frequency 共射频率Revers

41、e反向的Sapphire 蓝宝石(Al2O3)Saturated current range 电流饱和区Saturation 饱和的Scattering 散射Schottky肖特基Schottky contact肖特基接触Scribing grid 划片格Seed crystal 籽晶Selectivity 选择性Self diffusion 自扩散Sendsitivity 灵敏度rectifier可控硅Serial串行/串联Settle time建立时间Shield屏蔽Shot noise散粒噪声Sidewall capacitance 边墙电容Silica glass石英玻璃Silicon

42、 carbide 碳化硅Silicon Nitride(Si3N4)氮化硅Siliver whiskers 银须Single crystal 单晶Skin effect趋肤效应Sneak path潜行通路Solar battery/cell 太阳能电池Solid Solubility 固溶度Source源极Space charge空间电荷Speed-power product速度功耗乘积Spin自旋Spontaneous emission 自发发射Sampling circuit 取样电路 Satellite valley 卫星谷 Saturation region 饱和区 Scaled do

43、wn按比例缩小 Schockley diode肖克莱二极管 Schottky barrier肖特基势垒 Schrodingen 薛定厄 Secondary flat 次平面 Segregation 分凝 Self aligned自对准的 Semiconductor 半导体 Semiconductor-controlledSeries inductance 串联电感 Sheet resistance 薄层电阻 Short circuit 短路 Shunt分流 Signal信号 Silicon 硅Silicon dioxide (SiO2)二氧化硅 Silicon On Insulator 绝缘硅 Simple cubic 简立方 Sink 沉Snap time急变时间 Sulethreshold 亚阈的 Solid circuit固体电路 Sonband 子带 Source follower 源随器 Specific heat(PT)热 Spherical球面的 Split分裂Spreading resistance 扩展电阻Stacking fault 层错Stimulated emission 受激发射Sto

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论