EDA模块化实验指导书_第1页
EDA模块化实验指导书_第2页
EDA模块化实验指导书_第3页
EDA模块化实验指导书_第4页
EDA模块化实验指导书_第5页
已阅读5页,还剩8页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、 KX_DN系列模块化创新设茨修改日期:2015年9月河南工业大学焦素敏第1章模块化创新设计综合实验开发系统1.1康芯的KX-DN型模块化综合创新实验开发系统的特点诸如EDA、单片机、DSP、SOPC等传统实验平台多数是整体结构型的,虽也可完成多种类型实验,但由于整体结构不可变动,故实验项目和类型是预先设定的、固定的,很难有自主发挥的余地,学生的创新思想与创新设计如果与实验系统的结构不吻合,便无法在此平台上获得验证;同样,教师若有新的联系教学实际的实验项目,也无法融入固定结构的实验系统供学生实验。因此,此类实验平台不具备可持续发展的潜力,没有自我更新和随需要升级的能力,用几年后只能被淘汰。模块

2、自由组合型创新设计综合实验开发系统很好地解决了这些问题,成为高校目前十分流行的实践平台,其主要特点是:由于系统的各实验功能模块可自由组合、增减,故不仅可实现的实验项目多,类型广,更重要的是很容易实现形式多样的创新设计;由于各类实验模块功能集中,结构经典,接口灵活,对于任何一项具体实验设计都能给学生独立系统设计的体验,甚至可以脱离系统平台;面对不同的专业特点,不同的实践要求和不同的教学对象,教师,甚至学生自己可以动手为此平台开发增加新的实验和创新设计模块;由于系统上的各接口,以及插件模块的接口都是统一标准的,康芯提供所有接口电路,因此此系统可以通过增加相应的模块而随时升级。每套设备基本配件有:双

3、功能USB接口下载器一台及USB线一根,电源线一根RS232串口一根十芯线数根(根据用户模块数量配置)十四芯线一根,单根线数根。1.2康芯的KX-DN型主系统平台为了使实验系统能更好地实现实验者自主创新能力和工程实践能力的提高,KX_DN系统采用了模块化结构,在如图1-1所示的系统平台上安排较多数量的实验模块插座,使得各类功能模块即能插于主系统上,构建一个更大的设计结构,也可脱离主板系统,单独构建独立系统,以使实验者能更好地体会自主系统设计的过程。以下对主系统(主板为:MN8VV)做说明,说明采用标注形式表达。1.2.1A类实验模块可插的26针双插座标注“1”、此座用作专门插DDS模块,和“A

4、9”座靠近,二者同时只能用其一,具体DDS功能请参考以下”DDS模块说明“。标注“27”是插彩色液晶专用座,左插标“COLORLCDPORT1”,右插A1B”或“COLORLCDPORT2”标注“2、25、7、26、15、17、18、23、24”分别为A座,每个含两个26针。A类座它们的尺寸大小,结构布置和信号安排大致相同。所以以下所述的多数实验功能模块可以随意插在这9个插座中任何一个位置上,这为实验系统的灵活构建奠定了基础。但如果仔细观察,就会发现这9套插座的信号配置也稍有不同之处,所以对于不同的实验模块,以及不同的实验需求,应该具体考虑实验模块所插的位置。这9个插座的信号相同处与不同处主要

5、表现于以下方面:35-IHMN8W图1-1KX_DN主系统平台1、9个A类插座的相同处是,在相同的信号脚上都含有地GND和工作电源VCC(+5V);2、第一个不同处是时钟信号的布置:含有20MHz和8Hz信号的插座有一个,即A4插座。有的功能模块上需要此频率的时钟信号,如FPGA模块和单片机模块等,通过针向插在此座上的模块输送频率。含有10MHz和8Hz信号的插座有3个,即A5、A7、A8插座。实验中插功能模块时,也要根据模块的具体情况来确定实验模块插在哪里最合适。例如A8上插含ADC0809最合适,因为0809需要一个500kHz的工作时钟(当然也可通过FPGA的锁相环给出的时钟),这就无需

6、此625k时钟了。注意,在插座上安排的时钟,通常与特定实验模块中对应的插针吻合,具体的模块上会有说明。3、第二个不同处是+/-12V电压的设置。为了防止由于不当心的差错(尽管每一模块已经有防插反措施),造成烧毁器件,所以只安排了插座A5、A8有+/-12V电压。布置此电压的插座主要是为了某些需要此电压的模块,如A/D的D/A模块等。所以对于需要+/-12V高压的模块必须插于A5、A8座上。注意,通常,推荐插座A3上插20字X4行字符型液晶,插座A6上插4X4键盘,这样有利于板上的DDS函数信号发生器的使用。1.2.2B类实验模块可插的10针双插座标注“5、12、13”为B座,此类插座有3个,每

7、个含两个10针的插座。它们的尺寸大小,结构布置和信号安排也基本相同。一些实验功能模块必须插在此类插座上。注意,其中B4座含有更多的信号,除GND和VCC夕卜,10MHz时钟信号。在实验前应该充分了解这些座上的信号布置,以便安排接插适当的实验功能模块。当然实验者也可根据插座的信号设定和插座尺寸,自己来设计需要的实验模块。1.2.3主系统其他接口说明1、标注“3”是扬声器,通过标注“20”接口输入,可实现对其控制。2、标注“4”是DDS函数信号发生器模拟信号输出通道的B通道之信号口。如果需要得到B通道的模拟信号输出,必须将此B通道口用信号线与某一DAC的输入接口相连,然后得到输出信号。3、标注“6

8、”是用于调谐输出模拟信号的幅度。4、标注“8”是用于调谐输出模拟信号的偏移电平。5、标注“9”此是DDS函数信号发生器的TTL信号输输入口。6、标注“10”是DDS函数信号发生器模拟信号输出通道的A通道(此信号发生器可以输出双通道模拟信号),如正弦波信号等,幅度最大+/-10V,可通过电位器调谐。7、标注“11”是DDS函数信号发生器的TTL信号输输出口。8、标注“14”是8个上下拨动开关输出端,用于为实验提供高低电平。开关向上拨时,输出高电平;向下拨时则输出低电平。输出电平从右侧的端口J7十针口输出,此口标注的端口标号(如L1)对应开关处所标相同的标号。9、标注“16”是下方发光管控制端口,

9、可更具标识和每个发光管一一对应。10、标注“19”电源输出端,标准电压源有4个,即2.5V、3.3V、5V、+/-12V。除了以上模块插座上安排了某些电源外,还在实验平台的下方设置了这四个电压源的插口,以便在必要时用插线引出。在这四个电源中,2.5V、3.3V、5V来自开关电源,此电源含短路保护,而+/-12V来自单独的电源,其保护熔丝(两个)设于实验平台的下侧。11、标注“20”是上方扬声器的控制端口,通过这个端口,其中任意一个可对扬声器进行控制。12、标注“21”多功能逻辑笔测试端口,用于测试实验系统上的电平情况。此笔的信号输入口是J4的任何一端口。可测试高电平、低电平、高阻态、中电平(1

10、.5Vvxv3.1V,这是一个不稳定电平)、脉冲信号。13、标注“22”是含0.5Hz至50MHz多个标准频率,可通过插线将这里的时钟信号引到需要的实验模块中。对于诸如频率计设计,特定的功能模块设计都会需要这些标准频率信号。注意:模块板插到主系统各个座上的时候,一定确认未插反或错位,否则因为电源位置不对,特别是高压H72V而导致烧坏器件防止插反或错位在每组座的左边内侧从上而下第六根针是故意拔掉,但不能保证一定不会插反或错位。第2章KX_DN系统主要实验功能模块本章主要介绍KX_DN系统主要配套实验功能模块。这些模块可以是系统的配套模块,也可以是定购模块,或是根据此系统的接插口及开发项目需要,自

11、己设计出的模块。因此在CX_DN系统上用于完成不同类型的实验和设计的模块数量和种类没有任何限制。这里仅将一些主要和核心的功能模块的结构特点和使用注意作一些介绍。至于对于这些模块更加详细的了解和熟练的应用必须通过实际使用后才能实现。应该注意,这些模块有一个共同特点,即他们可以插于KX_DN系统上组合成设计系统进行实验,也可脱离实验平台构成独立的模块和模块组合进行更加实际的系统,这是KX_DN系统的主要特点。KX-DN实验平台上的实验模块之间的连接方式主要采用十芯线连接,为了用户使用简单方便,每个模块的控制及数据端口全部外引,大多数是十芯座为一组,所有模块都标准化,每个十芯座有10根针,中间的两个

12、针分别是”VCC”和“GND”,其他8根针全部是用来引脚号,全部在旁边标出,用户在使用时,用十芯线连接,根据每根针所在的位置一一对应锁定引脚号即可。为了用户快捷了解核心板及扩展板的接口功能,采用注解的方式进行介绍。其中FPGA板标注方式采用统一注号形式,以下标注说明对FPGA板3C10/40/55/4CE22板都适用。2.1大规模FPGA模块图2-1是CycloneIIIFPGAEP3C40Q240核心板,核心板包含CyclonellIEP3C40Q240FPGA(39600个逻辑宏单元、个锁相环,约300余万门、约116万RAMbit)。FPGA配置FlashEPCS16(16Mb)、1.2

13、V、2.5V和3.3V电压源、USB接口作电源接口。SDRAM32M字节(主要用于SOPC系统设计)、CPLDEPM3032A、1602字符液晶显示器JTAG口。SOF文件下载,内部RAM编辑检测、CPU的软件调试、配置FlashEPCS16的编程都通过此口。注意,EPCS16的编程应该通过JTAG口进行间接编程(间接编程方法可参考配套教材)。核心板上未安排AS模式编程口是为了提高板的抗干扰能力,EPCS16的工作可靠性。4个键、一个4位拨码开关、字符液晶接口、多个I/O接出口、专用时钟口、USB电源线等。20MHz时钟源。时钟源与此FPGA中的第一个锁相环的时钟输入口相接。每一锁相环的倍频范

14、围是2KHz至1300MHz。FPGA还包含8051/52IP核。提供商业级全兼容MCS-51单片机IP核。利用此核,实验者可以实现传统单片机实验系统无法达到的SOC(片上系统)设计。即将单片机CPU、RAM、ROM以及其它各类接口电路模块设计在同一片FPGA中。此类技术对于面向高新技术企业的就业十分必要。8088、8086CPUIP核。8255AIP核模块;8255AIP核(I/O接口);8253/8254IP核(定时器);8250IP核(UART串行通信);8237IP核(DMA控制器);8259IP核(可编程中断控制器),以及基于FPGA的RAM/ROM核、锁相环核等。这些IP核与808

15、8CPU核相结合就能在单片FPGA中构成一个微机系统,从而学习到实用的SOC设计工程技术。FPGA中的8088核与MCS-31单片机核及其中的各种模块和核都能与以下各模块结合,实现不同类型的实验开发。标注“1”是JTAG口,通过此口可对FPGA编程下载,本公司提供USB下载器,可采用sof和jic对FPGA编程下载和掉电保护EPCSx进行编程。标注“2”是FPGA的IO口以单针形式引出,用户可用单线对外扩展连接。标注“4”是专用时钟输入脚。标注“5、6、8、9、13、17、20、24”是10芯座FPGA的IO口的引脚,中间是GND、VCC脚,如此板独立使用,可作为5V电源输入端,统一标准,可利

16、用十芯线连接扩展板,具体可参照例程说明。标注“26是十四芯口形式,和十芯口有所区别,此口是专门连接彩色液晶座上的十四芯口。标注“7“是输入单脉冲按键,可作为复位及输入信号使用。标注“11“是字符液晶的接口,此板单独用的时候可把字符液晶直接插在此座上。标注“12”是LED发光二极管共三个,高电平点亮。标注“14“是4位拨码开关,向左拨是向FPGA输入高电平,向右拨是低电平输入。If24llrtiuutiu1.口赳MRSjFTUP2JKtriPM5VU7?13MMwutnulu图2-13C40核心板标注“ii“是字符液晶的接口,此板单独用的时候可把字符液晶直接插在此座上。标注“12”是LED发光二

17、极管共三个,高电平点亮。标注“14“是4位拨码开关,向左拨是向FPGA输入高电平,向右拨是低电平输入。标注“16“是USB电源输入端,如此板独立使用,可利用USB线提供电源,如电压不够,需从另外的口输入电源。比如十芯座中间的脚。标注“18“是点阵液晶对比度调谐电位器。标注“19“是128*64点阵液晶的接插口,当此板单独使用,可插此液晶。标注“21“是32M的SDRAM,型号为,此器件的资料在文件夹”重要FPF文档/HY57V561620.pdf”.标注“22“是专用时钟输入端B12脚。标注“23“是CPLD3032的编程端口,注意,一般不要编程,否则内有文件丢失,将无法运行8051/8088

18、/核。标注“25“是CPLD3032,注意:若运行8051或8088核时,其中“MT/NO/POEO/POE2/POE3/POE4”都要与FPGA相接,引脚请参照“KX3C10(40/55/4CE22核心板”必读,否则将无法运行。标注“27“是无源时钟锁相环专用时钟G2的输出端,通过此端可向外输出经过锁相环的时钟。标注“28“是掉电保护16MFLASHEPCS16,在此板上可采用间接编程方式烧写此芯片,达到掉电保护功能。具体编程方法请参考文件夹:”FPGA_单片机编程”.另外此板背面有一片256M的SRAMIS61LV25616AL资料请参考文件夹“重要FDF文件61LV25616.pdf”.

19、核心板测试接口模块有VGA接口、双数码管及接口、4发光管、PS2接口、RS232接口(需通过编程器)、蜂鸣器及相关电路、4个数码管插件、一个4X4矩阵16键键盘、若干接插线。2.2键盘及显示模块2214X4+8个单脉冲综合键盘模块(图2-2)图2-24X4+8个单脉冲综合键盘模块图2-2键盘是综合使用键盘,上面的黑色按键采用8个线扫描方式接16个键,下面8个白色按键是独立的单脉冲按键。2227数码管串行静态显示模块(图2-3)图2-3模块有7片74LS164控制7个数码管,可作串行静态显示。输入口有两个,即CLK和DATA标注“1”是控制的端口。图2-37数码管串行静态显示模块2.2.324位

20、输出显示HEX模块(图2-4)此模块上有两类键,下方左边2按键每键可同时输出4位二进制形式,由上面8个LED来显示相应数据,通过两个按键左方的十芯口接出向外输出;右边按键是单脉冲形式输出。上面的6个数码管每个都是以4位二进制DCBA形式输入(D是高位),以16进制字符09和AF来输出显示。注意:每两个数码管对应一个十芯口。11图2-424位输出显示HEX模块2.2.4800X480数字TFT彩屏液晶显示模块(图2-5)作为实验模块,此显示屏幕只能用FPGA驱动。彩色液晶显示屏上有5个跳线选择:1、控制模式MODE跳线选择:选择“H”,即选择普通LCD扫描控制方法,“L”选择VGA方式2、DCL

21、K跳线选择:选择HS”即选择VGA方式扫描控制;“DCLK”:选择普通LCD控制方式。3、VS/DE跳线选择:选择“VS”即选择VGA方式扫描控制;“DE”:选择普通LCD控制方式。4、L/R跳线选择:选择“H”即选择从右至左方式扫描;选择“L”,即选择从左至右方式扫描。5、U/D跳线选择:选择“H”即选择从上至下式扫描;选择“L”,即选择从下至上方式扫描。图2-5800X480数字TFT彩屏液晶显示模块2.3其他模块2.3.1A/D和D/A转换模块(图2-6)此板是一个是ADC0809,双通道的DAC0832.标注“1”是AD0809需提供时钟的方式,如跳线帽跳上,0809的工作时钟需通过主

22、系统提供,注意,主系统A8座提供625KHZ的时钟,此板必须插在A8座上,如跳线帽跳下,此板可插其他座上,但时钟需通过FPGAIO口提供,标注“4”是外围时钟输入口,FPGA可对应于其口输入时钟。标注“2”是AD0809的模拟输入通道。标注“3”是AD0809通道“IN0”输入的选择钮,可通过此按钮输入电压信号。标注“4“是0809的控制端口,其中有一个”CLK”端,是FPGA向0809的输入时钟端。标注“5“是0809的数据输出端。标注“6“是DA0832的控制端,用户可根据0832的使用手册进行控制。标注”7”是0832的B通道的数据输入端。标注“8“是0832的A通道的数据输入端。标注“

23、9“是+-12V输入端,注意,上为42V,这里板上标错,一般此板脱离主系统才用到。如果插在主系统上使用,要选择主系统的带+-12V的的插座。标注“10、12“分别是A/B通道的输出接示波器端口。标注“11、13“是调节A/B通道的幅度的点位器。另外0832左边上是分别有个跳线帽,是滤波选择,如跳下是无滤波,跳上是有滤波。图2-7SD+PS2+RS232+VGA显示接口模块图2-6双通道DAC和ADC标准模块2.3.2SD+PS2+RS232+VGA显示接口模块(图2-7)标注“1”是VGA接口的控制端口,VS,HS,R,G,B。标注“2”是SD卡的控制端口。标注“3”是RS232的TXD和RX

24、D端,可用单线连接。标注“4“是PS/2的控制端口。标注“5“是PS/2的接插口,可插键盘或鼠标。标注“6“是RS232接插口。标注“7“是插SD卡的接插口。标注“8”是VGA接插口。第3章KX_DN系统EDA实验(待完善)实验1原理图输入设计练习11位全加器设计根据教材的设计向导完成本实验.实验24位全加器设计实验目的:熟悉利用QuartusII的原理图输入方法设计简单组合电路,掌握层次化设计的方法,并通过一个4位全加器的设计把握文本和原理图输入方式设计的详细流程。实验原理:一个4位全加器可以由4个1位全加器构成,加法器间的进位可以串行方式实现,即将低位加法器的进位输出cout与相邻的高位加

25、法器的最低进位输入信号cin相接。实验内容1:按照教材向导完成1位全加器的设计,包括用原理图输入,编译、仿真,并将此全加器电路设置成一个元件符号入库。实验内容2:建立一个更高层次的原理图或文本设计,利用以上获得的1位全加器构成4位全加器,并完成编译、综合、适配、仿真和硬件测试。提示:主板上有8个高低电平开关(图1-1标注19),还有8个发光二极管(图1-1标注16),可用于开关量的输入和输出显示。加数的输入输出可采用图2-4模块资源。实验3原理图输入设计练习22位十进制计数译码电路的设计根据教材的设计向导完成本实验实验4VHDL输入设计练习根据教材的设计向导完成本实验实验5计数译码显示电路的设

26、计实验目的:学习7段数码显示译码器的Verilog/VHDL硬件设计。实验原理:7段数码是纯组合电路。通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是二进制的,所以输出表达都是十六进制的。为了满足十六进制数的译码显示,最方便的方法就是利用VHDL译码程序在FPGA中来实现。所以首先要设计一段程序。该程序可用case语句表述方法写出程序。设输入的4位码为A3:0,输出控制7段共阴数码管(图4-3)的7位数据为LED7S6:0。输出信号LED7S的7位分别接图4-3的共阴数码管的7个段,高位在左,低位在右。例如当LED7S输出为“1101

27、101”时,数码管的7个段g、f、e、d、c、b、a分别接1、1、0、1、1、0、1;接有高电平的段发亮,于是数码管显示“5”。这里没有考虑表示小数点的发光管,如果要考虑,需要增加段h,然后将LED7S改为8位输出。实验内容1:将设计好的VHDL译码器程序在QuartusII上进行编辑、编译、综合、适配、仿真,给出其所有信号的时序仿真波形。提示:设仿真激励信号时用输入总线的方式给出输入信号仿真数据,仿真波形图如图3-2所示。&一BOOofooooX0001)(0010X0011X010070101)(0110(01111f1000:(1001(1010(1011(1100X1101X1110(

28、1111X0000X0001LED7SH063FX06X阳X4Fi666D07I7FI6F(70X39X5E7971X3FX06图3-27段译码器仿真波形图3-3共阴数码管图3-4计数器和译码器连接电路的顶层文件原理图(4)实验内容2:引脚锁定及硬件测试。(5)实验内容3:用教材介绍的例化语句,按图4-3的方式连接成顶层设计电路(用VHDL表述),图中的CNT4B是一个4位二进制加法计数器。模块DECL7S即为以上的7段译码设计文件。重复以上实验过程。注意图4-3中的tmp是4位总线,led是7位总线。实验6计数器的设计实验7LPM的调用练习实验8用LPM设计8位数控分频器和4位乘法器实验原理

29、:对于高速测控系统,影响测控速度最大的因素可能是,在测得必要的数据并经过复杂的运算后,才能发出控制指令。因此数据的运算速度决定了此系统的工作速度。为了提高运算速度,可以用多种方法来解决,如高速计算机、纯硬件运算器、ROM查表式运算器等。用高速计算机属于软件解决方案,用纯硬件运算器属于硬件解决方案,而用ROM属于查表式运算解决方案。实验内容1:设计一个4X4bit查表式乘法器。包括创建工程、调用LPM_ROM模块、在原理图编辑窗中绘制电路图,全程编译,对设计进行时序仿真,根据仿真波形说明此电路的功能,引脚锁定编译,编程下载于FPGA中,进行硬件测试。完成实验报告。乘法表文件是例3-1。其中的地址/

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论