现场可编辑器件应用_第1页
现场可编辑器件应用_第2页
现场可编辑器件应用_第3页
现场可编辑器件应用_第4页
现场可编辑器件应用_第5页
已阅读5页,还剩12页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、精选优质文档倾情为你奉上精选优质文档倾情为你奉上专心专注专业专心专注专业精选优质文档倾情为你奉上专心专注专业课题名称 现场可编程器件应用 课题代码 院(系) 计算机与信息工程学院 专 业 通信工程 班 级 学 号 姓 名 时 间 老师签名: 教研室主任(系主任)签名: 现场可编程器件应用设计目的通过本次课程设计,巩固已学数字电路与逻辑设计的理论知识,掌握数字系统设计方法,引导学生从功能电路设计转向系统设计,由传统的通用集成电路的应用转向现场可编程逻辑器件的应用,从硬件设计转向硬件软件高度渗透的设计,从而拓宽数字技术知识和设计能力,提高学生动手能力,培养学生分析问题与解决问题的能力。设计内容本设

2、计利用FPGA开发软件QuartusII6.1,设计译码显示电路,引脚锁定、全编译通过后,完成FPGA器件Cyclone II的配置工作,并在DE2开发板上通过七段数码管,显示每位学生各自的学号(后两位)。设计实现各类波形信号的发生电路,并在DE2开发板上通过七段数码管,显示波形产生情况。注意:设计过程必须使用一款IP核。输入通过键盘控制或者通过50MHz晶振分频后以每1秒步长发生变化,通过8位并口输出数字信号,并将该数字信号经过译码电路后用七段数码管提示输出信息。设计要求独立完成译码显示电路、波形发生电路的设计输入工作, 输入方法(文本输入或图形输入)不限。熟悉QuartusII6.1环境下

3、系统开发设计流程,规定必须使用内嵌IP。在DE2上验证设计结果,并认真写出设计报告。设计过程用七段数码管显示学号编写一段VHDL,以实现四位二进制数转换为七位二进制数的功能。器件为2输出2输出。程序代码如下:library ieee; use ieee.std_logic_1164.all; entity hky is port( c:in std_logic_vector(3 downto 0); v:in std_logic_vector(3 downto 0); hex0:out std_logic_vector(6 downto 0); hex1:out std_logic_vecto

4、r(6 downto 0); end hky; architecture behave_hky of hky is begin with c(3 downto 0) select hex0= when 0000 , -0 when 0001 , -1 when 0010 , -2 when 0011 , -3 when 0100 , -4 when 0101 , -5 when 0110 , -6 when 0111 , -7 when 1000 , -8 when 1001 , -9 when others; - with v(3 downto 0) select hex1new,新建一个图

5、形文件,保存为hky1.bgf。用鼠标左键双击原理图编辑窗,在弹出的元件选择窗的 Libraries 栏中选择刚才生成的符号,将其置入图中。 添加2个输入2个输出,修改输入输出口对应的注释,并连接线路。波形信号的发生电路设计用鼠标左键双击原理图编辑窗,在弹出的元件选择窗的 Libraries 栏中选择 arithmetic的 lPm_counter( 计数器 )LPM 元件点击OK,弹出MegaWizard Plug-In Managerpage2c对话框页面。在该对话框页面中, 选择VHDL。点击下一步,选择4bit,然后点finish。执行File菜单的New命令, 打开一个新的Memor

6、y initialization file(存储器初值设定文件)编辑窗口, 在弹出下图所示的Number of words & Word size(字数与字长)对话框中输入字数为16, 字长为7。存储器的参数设置结束后单击 OK 按钮, 弹出下图所示的存储器初值设定文件的页面, 将其值设为“”和“”间隔(在七段数码管中就是显示0和1),将此文件保存为hky.mif在工程目录中。返回原理图,点击tool- MegaWizard Plug-In Manager,新建一个ROM:1-PORT。设置为VHDL,字长7bit,字数为16.选择之前建立的MIF文件为储存器的初始数据。点击工程中的hkyro

7、m.vhd,生成一个符号。左键在原理图中双击,把刚才生成的符号添加进入原理图。在原理图中添加一个输入一个输出,并将其按下图连接。以 hky.bdf作为顶层文件名将设计文件保存于工程目录中, 并通过QuartusII 进行编译。点击菜单栏上的assignments-pin planner,如下图分配引脚,再次编译。用AS模式下载到DE2板上进行验证。1)首先需要设置串口配置器件,选择Assignments-Settings命令,打开设置窗口如下图所示。 2)单击Device&Pin Options.按钮,打开器件及引脚选项窗口,如下图所示。切换到Configuration页。在Configura

8、tion Device下拉框中选择“EPCS16”,单击OK按钮结束配置。 3)将DE2上的SW19置于PROG位置。重新选择Tools-Programmer命令,打开编程窗口,在Mode下拉框中选择“Active Serial Programming”,这时会弹出下图的对话框,提示是否清除现有编程器件,选择“是”即可。 4)接着需要重新添加配置文件,单击Add Files按钮,添加hky.pof配置文件。选中Program/Config选项。单击Start按钮,开始编程。编程结束后,将SW19置于RUN位置,再进行测试。 实验心得。通过本次实验,熟悉了如何利用IP核来设计一个FPGA译码电路和波形发生电路。通过设计一个解码器,把输入的3位二进制数转换为7位的二进制数,7位二进制数的“0”“1”控制七段数码管上的LED亮灭,达到显示所需要的数字(学号)的功能。对于波形显示器,则通过使用Quartus II 的 MegeWizard Plug-In Manager 中的宏功能模块,添加一个LPM计数器和只读存储器(ROM)。由DE2板上的键位控制CLOCK的跳变,使计数器开始计数,选择ROM上面对应单元的数据。通过设置默

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论