有关FPGA产品的调研报告(四大厂商)_第1页
有关FPGA产品的调研报告(四大厂商)_第2页
有关FPGA产品的调研报告(四大厂商)_第3页
有关FPGA产品的调研报告(四大厂商)_第4页
有关FPGA产品的调研报告(四大厂商)_第5页
已阅读5页,还剩19页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、PAGE24 / NUMPAGES24关于FPGA产品的调研报告Altera(Intel)Altera(现已被Intel收购)作为世界老牌可编程逻辑器件的厂家,是90年代以后发展最快的可编程逻辑器件的供应商,在日本和亚太地区用的人多。Altera FPGA可提供多种可配置嵌入式SRAM、高速收发器、高速 I/O、逻辑模块以与布线;其结合带有软件工具的可编程逻辑技术,缩短了 FPGA 开发时间,降低了功耗和成本。开发软件MAX+PLUSII:普遍认为MAX+PLUSII曾是最优秀的 HYPERLINK s:/baike.so /doc/5418308-5656471.html t _blank

2、PLD开发平台之一,适合开发早期的中小规模PLD/FPGA,现由QuartusII替代,不再推荐使用;QuartusII:Altera新一代FPGA/PLD开发软件,适合新器件和大规模FPGA的开发,已经取代MAX+PLUSII。产品系列Altera 的主流FPGA分为两大类,一种侧重低成本应用,容量中等,性能可以满足一般的逻辑设计要求,如Cyclone,CycloneII等;还有一种侧重于高性能应用,容量大,性能可满足各类高端应用,如Startix,StratixII等,用户可以根据自己实际应用要求进行选择。Altera FPGA主要分为Stratix 系列、Arria 系列、Cyclone

3、 系列、MAX系列。在性能可以满足的情况下,优先选择低成本器件。Stratix 系列StratixFPGA 系列是Altera的第一代高端FPGA系列,在FPGA上同时实现了高性能体系结构和高度集成特性。Stratix系列产品具有的特性是革命性的,而且还在不断发展。表1列出了 Stratix系列的各个器件。Stratix器件系列Stratix系列StratixStratix GXStratix IIStratix II GXStratix IIIStratix IVStratix VStratix 10推出年份20022003200420052006200820102013工艺技术130nm1

4、30nm90nm90nm65nm40nm28nm14nm三栅极Stratix FPGA HYPERLINK s:/ altera .cn/products/fpga/stratix-series/stratix/stratix/overview.html Stratix FPGA HYPERLINK s:/ altera .cn/products/fpga/stratix-series/stratix/stratix/overview.html Stratix FPGA能够提供80K逻辑单元(LE)以与7.3Mbits片RAM,这些资源排列在TriMatrix存储器模块中,工作速度高达350

5、MHz。Stratix FPGA支持外部存储器接口,例如:400Mbps的DDR SDRAM、800Mbps的QDRII SRAM。Stratix FPGA还引入了世界上第一款数字信号处理(DSP)模块,含有4个18 x 18乘法器、累加器和求和单元。 HYPERLINK s:/ altera .cn/products/fpga/stratix-series/stratix/stratix/overview.html Stratix GX FPGA在 Stratix FPGA 高性能体系结构特性基础上, HYPERLINK s:/ altera .cn/products/fpga/strati

6、x-series/stratix/stratix-gx/overview.html Stratix GX FPGA是第一款具有多千兆速率高速串行收发器的可编程逻辑器件。使用收发器模块支持4路全双工通道和时钟数据恢复(CDR)技术,每通道数据传输超过了3.1875Gbps。这一数据速率支持很多常见的高速通信协议,包括:SerialLite、千兆以太网、万兆以太网/XAUI、SONET/SDH、光纤通道、Serial RapidIO标准、PCI Express、SFI-5,以与 SPI-5 等。Stratix II FPGAStratix II FPGAStratix II FPGA作为大容量高性

7、能FPGA,可在最高效的器件中实现 HYPERLINK s:/ altera .cn/products/fpga/stratix-series/stratix-ii/stratix-ii/features/st2-density.html 高密度逻辑设,从而获得高性能和很好的信号完整性。该器件基于1.2V、90nm、SRAM工艺,具有15,600至179,400个等价逻辑单元(LE),9Mbits片RAM,1,170个用户I/O引脚,高度优化的 HYPERLINK s:/ altera .cn/products/fpga/features/stx-dsp-block.html 数字信号处理(D

8、SP)模块中具有384个(18x18)嵌入式乘法器。在Stratix系列的基础上,Stratix II具有创新的逻辑结构,高性能的DSP模块和片存储器,高速I/O引脚和外部存储器接口。Stratix II采用TSMC低k绝缘工艺技术,具有180K等价逻辑单元(LE)和9 Mbits嵌入式存储器,Stratix II FPGA是性能最好、密度最高的90nm FPGA,具有Altera的 HYPERLINK s:/ altera .cn/products/fpga/stratix-series/stratix-ii/stratix-ii/features/st2-density.html 冗余技术

9、,极大的提高了产量,降低了器件成本,同时 HYPERLINK s:/ altera .cn/products/fpga/stratix-series/stratix-ii/stratix-ii/features/st2-power.html 优化了器件总功耗。Stratix II GX FPGAStratix II GX器件融合了Stratix II体系结构,具有20个全双工、高性能、多千兆位收发器。收发器在整个600-Mbps至6.375-Gbps工作围具有优异的抖动性能,同时保持了最低功耗。Stratix II GX FPGA一个器件中集成了20个 HYPERLINK s:/ altera

10、 .cn/products/fpga/stratix-series/stratix-ii/stratix-ii-gx/features/s2gx-mgt-transceiver.html 基于串化器/解串器(SERDES)的收发器,可为多千兆位串行I/O的应用和协议提供强大的解决方案。StratixII GX FPGA具有同类最佳的信号完整性。其收发器的体系结构可成功的工作在数据速率高达6.375Gbps的50 (1.25 m)传输线上,采用标准FR-4材料制作的电路板和背板上,以与2.5Gbps的30m PCIe电缆上。对此,收发器包括了一些特性以确保如此高的速率下的 HYPERLINK :

11、/ altera /technology/signal/devices/stratix2gx/sgl-s2gx.html 信号完整性,同时保持了低功耗。这包括: HYPERLINK :/ altera /technology/signal/ppsi/sgl-plug-and-play-si.html 即插即用信号完整性和业界第一款自适应均衡器Altera的自适应散射补偿引擎(ADCE);具有动态选择信号完整性的 HYPERLINK s:/ altera .cn/products/fpga/stratix-series/stratix-ii/stratix-ii-gx/features/s2gx

12、-pma-transceiver.html 物理介质附加(PMA)层(包括锁相环(PLL)体系结构); HYPERLINK s:/ altera .cn/products/fpga/stratix-series/stratix-ii/stratix-ii-gx/features/s2gx-dynamic-reconfiguration.html 收发器动态重新配置支持多种协议、数据速率和物理介质附加子层(PMA)设置;与最相近的竞争方案相比, HYPERLINK s:/ altera .cn/products/fpga/stratix-series/stratix-ii/stratix-ii-

13、gx/features/s2gx-power.html l power 电路经过优化,功耗降低了一半。StratixII GX FPGA可提供全面的协议解决方案。Stratix II GX FPGA是目前很多高速串行应用中 HYPERLINK s:/ altera .cn/products/fpga/stratix-series/stratix-ii/stratix-ii-gx/features/s2gx-transceiver-proto.html 关键协议全面解决方案的组成。为PCI Express、CEI-6G、串行数字接口(SDI)、千兆以太网、Serial RapidIO (SRIO

14、)、XAUI、SerialLite II、光纤通道以与SONET标准提供支持。这一全面的解决方案包括: HYPERLINK s:/ altera .cn/products/fpga/stratix-series/stratix-ii/stratix-ii-gx/features/s2gx-pcs-transceiver.html 专用物理编码子层(PCS)协议电路、Altera与其AMPPSM合作伙伴提供的 HYPERLINK s:/ altera /solutions/technology/transceiver/overview.html 优化协议知识产权(IP)、 HYPERLINK :

15、/ altera /technology/signal/devices/stratix2gx/sgl-s2gx.html 与协议相关的特征报告、资料和参考设计、专用协议开发套件、 HYPERLINK :/ altera /products/devkits/altera/kit-pciexpress_s2gx.html Stratix II GX版PCI Express开发套件、 HYPERLINK :/ altera /products/devkits/altera/kit-dsp-professional.html Stratix II GX版音频视频开发套件。StratixII GX FP

16、GA具有创新的逻辑结构。Stratix II GX FPGA采用了 HYPERLINK s:/ altera /products/general/fpga/stratix-fpgas/stratix-ii/stratix-ii/features/architecture/st2-lut.html Stratix IIFPGA中创新的自适应逻辑模块(ALM)逻辑结构,使用TSMC的90-nm低k绝缘工艺技术,经过优化提高了性能,控制了电流泄漏。一片Stratix II GX FPGA能够提供20个高速串行收发器,以与130K等价逻辑单元(LE)、6.7Mbits的嵌入式存储器,252个(18位x

17、18位)乘法器能够高效实现高性能滤波器和其他数字信号处理(DSP)功能。Stratix IIIFPGAStratix III器件系列是结合 HYPERLINK s:/ altera .cn/products/fpga/features/speed/st3-performance.html 高性能、高 HYPERLINK s:/ altera .cn/products/fpga/features/density/st3-density.html 密度和低功耗的高端FPGA,其性能随着设计容量的提高更加明显。Stratix III器件经过设计, HYPERLINK s:/ altera .cn/p

18、roducts/fpga/features/power/st3-power.html 最低的功耗需求比Stratix II低50,没有热沉或者强制空气散热带来的可靠性风险,性能比Stratix II提高了25,容量是Stratix II FPGA的两倍,具有高达533-MHz DDR3的高性能存储器接口,性能达到1.6Gbps的LVDS,在LVDS I/O上支持串行千兆位介质无关接口( HYPERLINK s:/ altera .cn/solutions/technology/transceiver/protocols/pro-sgmii.html SGMII)。Stratix III GX

19、器件含有工作速率高达 6.5GHz 的同类最佳嵌入式收发器,以极低的功耗实现了高性能逻辑和片串化器/解串器 (SERDES) 的完美组合。Altera Stratix III FPGA提供三种型号,分别针对逻辑、DSP和存储器以与收发器进行了优化:Stratix III L器件主要针对逻辑较多的应用,Stratix III E器件主要针对 DSP 和存储器较多的应用,Stratix III GX器件含有多吉比特收发器。可编程功耗技术使Stratix III逻辑架构能够在逻辑阵列模块 (LAB) 级进行编程,根据设计需求提供高速或者低功耗逻辑。在这种方式中,只有很少比例的电路是关键时序电路,需要

20、采用高速设置,而其他电路则采用低功耗设置,使低功耗逻辑的功率泄漏降低了 70。此外,没有使用的逻辑以与DSP模块和TriMatrix 存储器进入低功耗模式,进一步降低了功耗。Stratix IVFPGA在先进成熟的Stratix III体系结构基础上,Stratix IV FPGA实现了大容量、功能丰富的高性能核架构。结合灵活的I/O、宽带收发器和存储器接口,StratixIV FPGA满足了无线通信、固网、军事、广播和其他市场领域对高端数字系统的需求。 具有以下关键优势:高密度:具有680K逻辑单元(LE)、22.4Mbits 嵌入式存储器和1,360个18x18乘法器; HYPERLINK

21、 s:/ altera .cn/products/fpga/features/speed/stxiv-performance.html 高性能:具有2个速率等级优势; HYPERLINK s:/ altera .cn/products/fpga/features/speed/stxiv-performance.html 系统带宽:具有8.5Gbps 的48个 HYPERLINK s:/ altera .cn/products/fpga/features/transceivers/stxiv-transceivers.html 高速收发器,以与1,067 Mbps (533 MHz) DDR3

22、HYPERLINK :/ altera .cn/technology/memory/devices/stratix-iv/mem-stratix-iv.html 存储器接口; HYPERLINK s:/ altera .cn/products/fpga/features/power/stxiv-power.html 低功耗:在40nm优势和可编程功耗技术的支持下,比市场上的其他同类高端 FPGA 功耗低50; HYPERLINK s:/ altera .cn/solutions/technology/transceiver/protocols/pro-hard-ip.html PCI Expr

23、ess 硬核知识产权 (IP)Gen1 (2.5 Gbps) 和 Gen2 (5.0 Gbps),4 个 x8 模块,实现了全端点或者根端口功能;优异的 HYPERLINK :/ altera .cn/technology/signal/devices/stratix-iv/sgl-stratix-iv-signal-int.html 信号完整性:能够驱动50背板,速度达到6.375Gbps,支持 HYPERLINK :/ altera .cn/technology/signal/ppsi/sgl-plug-and-play-si.html 即插即用信号完整性。StratixIV FPGA 系

24、列包括以下三种器件型号: HYPERLINK s:/ altera .cn/products/fpga/stratix-series/stratix-iv/features.html l table1 Stratix IV GT (基于收发器) FPGA、 HYPERLINK s:/ altera .cn/products/fpga/stratix-series/stratix-iv/features.html l table2 Stratix IV GX (基于收发器) FPGA、 HYPERLINK s:/ altera .cn/products/fpga/stratix-series/s

25、tratix-iv/features.html l table3 Stratix IV E (增强型器件) FPGA。 HYPERLINK s:/ altera .cn/products/fpga/stratix-series/stratix-iv/features.html l table1 Stratix IV GT (基于收发器) FPGA:具有530K逻辑单元(LE)和48个全双工基于 CDR 的收发器,速率达到11.3Gbps。 HYPERLINK s:/ altera .cn/products/fpga/stratix-series/stratix-iv/features.html

26、 l table2 Stratix IV GX (基于收发器) FPGA:具有530K逻辑单元(LE)和48个全双工基于 CDR 的收发器,速率达到 8.5 Gbps。 HYPERLINK s:/ altera .cn/products/fpga/stratix-series/stratix-iv/features.html l table3 Stratix IV E (增强型器件) FPGA:具有820K LE,23.1Mbit RAM,1,288个18 x 18位乘法器。Stratix IV FPGA支 HYPERLINK s:/ altera .cn/products/fpga/stra

27、tix-series/stratix-iv/features.html l table4 纵向移植,在每一系列型号中都能灵活的进行器件选择。而且, HYPERLINK s:/ altera .cn/products/unknown/devices/stratix-fpgas/about/stratix-series-migration.html Stratix III和Stratix IV E器件之间有纵向移植途径,因此, 我们可以在 Stratix III器件上启动设计,不需要改动 PCB 就能够转到容量更大的 Stratix IV E 器件上。Stratix VFPGAAltera HYP

28、ERLINK s:/ altera .cn/content/dam/altera-www/global/zh_CN/pdfs/literature/wp/wp-01125-stxv-28nm-innovation_cn.pdf 28-nm StratixV FPGA在高端应用中实现了业界最大带宽和最高系统集成度,非常灵活,降低了成本和总功耗。该系列包括四个器件型号:带有收发器的Stratix V GX FPGA:集成了66个全双工、支持背板应用的14.1-Gbps收发器,以与高达800 MHz的6 x72位DIMM DDR3存储器接口,支持芯片至芯片/芯片至模块。适用于高性能、宽带应用。;带有

29、增强数字信号处理(DSP)功能和收发器的Stratix V GS FPGA:集成了4,096个18位x18位高性能精度可调乘法器、支持背板应用的48个全双工、14.1-Gbps收发器,以与高达800 MHz的7 x72位DIMM DDR3存储器接口,支持背板、芯片至芯片/芯片至模块,适用于高性能精度可调数字信号处理(DSP)应用。;带有收发器的Stratix V GT FPGA:集成了4个28-Gbps收发器,32个全双工、提供28.05G收发器、支持12.5Gbps背板应用的收发器,以与高达800 MHz的4 x72位DIMM DDR3存储器接口,适用于需要超宽带和超高性能的应用,例如,40

30、G/100G/400G应用;Stratix V E FPGA:具有950K LE、52 Mb RAM、704个18位 x 18位高性能精度可调乘法器和840个I/O,适用于ASIC原型开发。Stratix 10 FPGAStratix 10 设备采用了革命性的英特尔HyperFlexFPGA 架构和英特尔14纳米三栅极制造工艺,与上一代的高性能FPGA相比,核性能提高一倍,同时功耗降低70%,在性能、功耗、密度和系统集成方面具有业界无与伦比的显著优势。Stratix 10 产品家族系列有Stratix 10 GX FPGA、Stratix 10 SX 系统芯片、Stratix 10 TX FP

31、GA、Stratix 10 MX FPGA。Stratix 10 GX FPGA:专为满足高吞吐量系统的高性能要求而设计,可提供高达 10 万亿次的浮点性能,其收发器在芯片模块应用、芯片到芯片应用和背板应用中可支持高达 28.3 Gbps 的速度;Stratix 10 SX :系统芯片采用硬处理器系统,除具备 Stratix 10 GX 设备的所有功能之外,支持各种密度的 64 位四核 ARM Cortex-A53 处理器;Stratix 10 TX FPGA:将 H-tile 收发器和 E-tile 收发器相结合,提供了业最先进的收发器功能。E-tile 收发器提供双模收发器功能,允许单个收

32、发器信道在 PAM-4 模式下以最高 56 Gbps 的速度运行,在 NRZ 模式下以最高 30 Gbps 的速度运行。Stratix 10 TX FPGA 还支持 Stratix GX 和 SX 产品系列的其他突破性创新;Stratix 10 MX FPGA :在一个封装中将 Stratix 10 FPGA 和系统芯片的可编程性和灵活性与 3D 堆叠高带宽存 2 (HBM2) 融合在一起,支持 H-tile 收发器和 E-tile 收发器。Arria系列Arria系列作为中端系列,适用于对成本和功耗敏感的收发器以与嵌入式应用。Arria FPGA系列提供丰富的存储器、逻辑和数字信号处理(DS

33、P)模块资源,结合28.05Gbps收发器优异的信号完整性,可集成更多的功能并提高系统带宽。而且Arria V器件系列的SoC FPGA还具有 HYPERLINK s:/ altera .cn/products/fpga/arria-series/arria-v/features.html 基于ARM的硬核处理器系统(HPS),进一步提高了集成度,降低了功耗。表2列出了Arria的各个器件。Arria器件系列Arria系列Arria GXArria II GXArria II GZArria V GX,GT,SXArria V GZArria 10 GX,GT,SX推出年份2007200920

34、10201120122013工艺技术90nm40nm40nm28nm28nm20nmArria GX FPGAArriaGX FPGA含有Altera的第四代收发器,是Altera带有收发器的中端FPGA系列,其收发器速率高达3.125Gbps,可利用它来连接支持PCI Express、千兆以太网、Serial RapidIO、SDI等协议的现有模块和器件。Arria GX收发器基于最初为Stratix II GX FPGA系列而成功开发,所有系列均采用90nm工艺技术生产,使用一样的物理介质附加(PMA)电路。Arria GX还含有Stratix II GX FPGA物理编码子层(PCS)的

35、子集。结合倒装焊封装,这些特性在低成本收发器FPGA中可确保设计具有优异的信号完整性。Arria II FPGAArria II FPGA基于全功能40nm FPGA架构,含有成本最低的6.375Gbps收发器FPGA,静态功耗比竞争产品低50。它包括自适应逻辑模块(ALM)、数字信号处理(DSP)模块和嵌入式RAM,以与硬核PCI Express IP。相比其他的6G收发器FPGA系列,Arria II FPGA实用性更强,可更迅速地完成工程设计。该器件系列有两个型号:Arria II GX FPGA:现在发售的Arria II GX FPGA有速度最快的收发器、LVDS和存储器,以最低的成

36、本和功耗实现了丰富的功能。同时该型号FPGA提供16个6.375-Gbps收发器,非常适合专业摄像机的输出处理等多种应用。Arria II GZ FPGA:Arria II GZ FPGA是新型号,具有Arria II GX FPGA的成本和功耗优势,进一步拓展了器件的功能,适合宽带应用。该型号包括24个6.375-Gbps收发器,密度更大,存储器更多,数字信号处理(DSP)功能更强。Arria II FPGA支持纵向移植,因此,用户可以先采用一个器件开始设计,以后需要的时候,将设计转换到密度不同的器件上。Arria V FPGAArria V FPGA可为远程射频单元、10G/40G线路卡以

37、与广播演播设备等中端应用实现单位带宽最低功耗,是需要高达12.5Gbps收发器低功耗设计的理想选择。Arria V GX和GT FPGA使用了28 nm低功耗工艺实现了最低静态功耗,设计了具有硬核IP的优异架构从而降低了动态功耗。在10G数据速率,Arria V GZ FPGA每通道功耗不到180mW;在12.5Gbps,每通道功耗不到200mW;Arria V GZ FPGA的-3L速率等级器件进一步降低了静态功耗。与前一代中端FPGA相比,Arria V器件的平均功耗降低了40%。ArriaV SoC FPGA在一个基于ARM的用户可定制芯片系统(SoC)中集成了分立处理器、FPGA和数字

38、信号处理(DSP)功能。SoC FPGA使用宽带互联干线,在FPGA架构中集成了 HYPERLINK s:/ altera .cn/products/fpga/arria-series/arria-v/features.html HPS(包括处理器、外设和存储器控制器),降低了系统功耗和成本,减小了电路板面积,提高了系统性能。HPS与Altera的28-nm低功耗FPGA架构相结合,实现了应用类ARM处理器的性能,它还具备了Arria V FPGA灵活的数字信号处理(DSP)功能,同时可实现硬核知识产权(IP)的性能、低功耗特性以与可编程逻辑的灵活性。Arria 10FPGAArria 10设

39、备具有业界唯一的硬核浮点数字信号处理 (DSP) 模块,速率高达每秒 1.5 Tera次浮点运算 (TFLOPS),实现了新的DSP性能水平;此外,Arria 10系列提供可编程逻辑行业仅有的20 nm基于ARM的SoC,可提供高达1.5 GHz的时钟速度,相比竞争FPGA 和SoC可提供高出一个速度等级的核性能和高达20%的最高频率优势。与前一代中端 FPGA 相比,英特尔的Arria 10 FPGA和SoC性能提高了60%,功耗降低了40%,而与前一代高端FPGA相比,性能提高了15%,功耗降低了60%。目前Arria 10器件系列型号主要包括:Arria 10 GT、GX、SX FPGA

40、。Arria 10 GT:支持78个全双工收发器,数据速率高达 25.78Gbps 芯片至芯片,背板达到12.5Gbps,还有 1,150K等价LE;Arria 10 GX:支持 96 个全双工收发器,数据速率高达17.4Gbps 芯片至芯片,背板达到 12.5Gbps,还有 1,150K 等价LE;Arria 10 SX:SoC 支持双核 ARM Cortex-A9 HPS,48个全双工收发器,数据速率高达 17.4Gbps芯片至芯片,背板达到 12.5Gbps,还有660K等价LE。Cyclone系列Cyclone系列作为低端系列,可满足客户对低功耗、低成本设计的需求。每一代 Cyclon

41、e FPGA在提供集成度和性能的前提下,降低功耗,并满足低成本要求,表3出了Cyclone的各个器件。Cyclone器件系列Cyclone 系列Cyclone Cyclone IICyclone IIICyclone IVCyclone V Cyclone 10推出年份200220042007200920112017工艺技术13um90nm是否建议新设计使用否否是是是是Cyclone FPGACyclone-13umFPGA作为Altera中等规模FPGA,基于成本优化的全铜1.5V SRAM而开发,与Stratix结构类似,是大容量、高密度、低成本的最佳解决方案,适合作为中低端应用的通用FP

42、GA。Cyclone FPGA综合考虑了逻辑、存储器、锁相环(PLL)和高级I/O接口,采用新的 HYPERLINK :/ altera .cn/products/devices/serialcfg/scg-index.html 串行配置器件的低成本配置方案,其嵌入式存储器资源支持多种存储器应用和 HYPERLINK :/ altera .cn/products/devices/cyclone/features/cyc-dsp.html 数字信号处理(DSP)实现,专用 HYPERLINK :/ altera .cn/products/devices/cyclone/features/cyc-

43、ext_mem_int.html 外部存储器接口电路支持与DDR FCRAM和SDRAM器件以与SDR SDRAM存储器的连接,同时支持 HYPERLINK :/ altera .cn/products/devices/cyclone/features/cyc-protospt.html 串行总线和网络接口以与多种通信协议,片和片外系统时序管理使用嵌入式 HYPERLINK :/ altera .cn/products/devices/cyclone/features/cyc-pll_features.html PLL,支持 HYPERLINK :/ altera .cn/products/d

44、evices/cyclone/features/cyc-diff_single_io.html 单端I/O标准和差分I/O技术,LVDS信号数据速率高达640Mbps,是Altera最成功的器件之一。Cyclone II FPGA在Altera大获成功的第一代Cyclone器件系列基础上,CycloneIIFPGA从 HYPERLINK :/ altera .cn/products/devices/cyclone2/features/architecture/cy2-architecture.html 根本上针对低成本进行设计,为成本敏感的大批量应用提供用户定制特性,采用90nm工艺,1.2V

45、核供电,以低于ASIC的成本实现了高性能和低功耗。Cyclone II作为Cyclone FPGA的下一代产品,其密度分布围广,含有丰富的存储器和嵌入式乘法器,并提供多种封装选择。同时支持低成本应用中常见的各种外部存储器接口和I/O协议。Cyclone III FPGACycloneIII FPGA系列采用全层铜、低k、1.2V SRAM工艺设计,在TSMC非常成功的90-nm工艺技术上使用300mm圆晶片开发,优化实现了最小管芯体积。该系列设立了功耗标准,采用台积电(TSMC)的低功耗(LP)工艺技术进行制造,实现了静态 HYPERLINK :/ altera .cn/products/de

46、vices/cyclone3/overview/power/cy3-power.html 功耗不到1/4W。这一FPGA系列主要包括:Cyclone III:是功耗最低、成本最低的高性能FPGA,含有120K垂直排列的逻辑单元(LE)、以9Kbit (M9K)模块排列的4Mbits嵌入式存储器和200个18x18嵌入式乘法器;Cyclone III LS:是安全特性、功耗最低的FPGA,在布局上提供丰富的存储器和乘法器资源,包括200K逻辑单元、8.2Mbits嵌入式存储器和396个嵌入式乘法器。该系列在硬件、软件和知识产权(IP)层面上率先实现了一系列安全特性,可保证用户IP不被篡改、逆向剖

47、析和克隆。而且通过设计分离特性,用户可在一个芯片中实现冗余功能,从而减小了实际应用的体积、重量和功耗。以上体系结构都含有非常高效的互联和低偏移时钟网络,在时钟逻辑结构和数据信号之间提供互联。逻辑和走线核架构周围是I/O单元(IOE)和锁相环(PLL) 。Cyclone IV FPGAAltera CycloneIV FPGA拓展了Cyclone FPGA系列的领先优势,为市场提供成本最低、功耗最低并具有收发器的FPGA。Cyclone IV系列采用经过优化的低功耗工艺,提供150,000个逻辑单元(LE),总功耗降低了30,适合低成本、小外形封装,同时满足越来越大的带宽需求并降低了成本。该系列

48、包括:Cyclone IV GX FPGA:体系结构包括150K垂直排列的逻辑单元(LE)、以9-Kbit (M9K)模块形式排列的6.5 Mbits嵌入式存储器,以与360个18x18嵌入式乘法器。在Cyclone系列中,Cyclone IV GX FPGA新增加了8个速率高达3.125Gbps的集成收发器;Cyclone IV E FPGA:适用于多种通用逻辑应用,体系结构包括115K垂直排列的LE、以9-Kbit (M9K)模块形式排列的4Mbits嵌入式存储器,以与266个18x18嵌入式乘法器。逻辑和走线核架构周围是I/O单元(IOE)和锁相环(PLL),GX和E型号有4个通用PLL

49、,位于管芯的每个角上。Cyclone IV GX FPGA在管芯顶部、底部和右侧排列了I/O单元,而Cyclone IV E FPGA在管芯四边都有I/O。Cyclone IV GX管芯左侧是8个收发器,排列在两个块中,每个块含有4个收发器。每个收发器块的顶部和底部是多用途PLL (MPLL),可以供收发器使用,也可以由FPGA架构使用。Cyclone IV FPGA可有效的降低系统成本。该系列只需要两路电源供电,简化了电源分配网络,降低了电路板成本,减小了电路板面积,缩短了设计时间。对于Cyclone IV GX FPGA,在前沿的低功耗Cyclone IV FPGA体系结构中引入集成收发器

50、,简化了电路板设计和集成,进一步降低了成本,而且灵活的收发器时钟体系结构可实现收发器所有可用资源的利用。利用Cyclone IV GX FPGA的灵活性和高度集成特性,可以设计出体积更小、成本更低的器件,进而降低系统总成本。Cyclone IV E FPGA可有效降低功耗。该系列采用经过优化的60-nm低功耗工艺,拓展了前一代Cyclone III FPGA的低功耗优势。最新一代器件降低了核电压,与前一代产品相比总功耗降低了25。采用Cyclone IV GX收发器FPGA,用户可以开发功耗不到1.5瓦的PCI Express至千兆以太网桥接应用。Cyclone IV GX FPGA采用了Al

51、tera成熟的GX收发器技术,具有出众的抖动性能和优异的信号完整性。PCI-SIG兼容收发器型号支持多种串行协议。Cyclone IV GX FPGA为根端口和端点配置的PCI Express x1、x2和x4提供唯一的硬核知识产权(IP)模块。Cyclone V FPGACycloneVFPGA系列采用TSMC的28nm低功耗工艺以与小尺寸封装选项(如 11x11 mm2),与前几代产品相比,总功耗降低了40%,静态功耗降低了30%,其性能水平使得该器件系列成为大批量应用优势的理想选择。CycloneVFPGA提供低功耗的串行收发器,每通道在5Gbps时功耗只有88mW,处理性能高达4000

52、MIPS,而功耗不到1.8W,此外它具有高效的逻辑集成功能以与基于 ARM的硬核处理器系统 (HPS)的SoC FPGA 型号。核 FPGA 架构中精度可调数字信号处理 (DSP) 模块、多端口存储器控制器和多功能PCI ExpressGen2 增强 IP 等丰富的硬核知识产权 (IP) 模块可实现更低的系统总成本并在更短的设计时间完成更多的工作。作为一种可以马上使用的功能,这些硬核 IP 模块简化了开发过程,进一步降低了功耗,在增强存储器控制器方面,相对于软核逻辑占用了更少的电路板空间,而且节省了很多的逻辑资源,从而用于实现独特的功能,进而突出产品优势。Cyclone 10 FPGA与前几代

53、 Cyclone FPGA 相比,Cyclone10系列可节省更多的成本和功耗。该系列主要包括:Cyclone 10 GX FPGA、Cyclone 10 LP FPGA。通过基于 12.5G 收发器的功能、1.4Gbps LVDS和最高72位宽1,866 Mbps DDR3 SDRAM 接口,Cyclone 10 GX FPGA 可为用户提供高带宽。Cyclone 10 LP 设备提供低静态功耗、成本优化的功能。Cyclone 10 GX FPGACyclone10 GX FPGA是基于高性能20nm工艺构建的首款低成本器件,可针对成本敏感型应用发挥其性能优势。该产品支持12.5Gbps收发

54、器I/O,具有高性能1,866Mbps 外部存储器接口、1.434Gbps LVDS I/O以与符合IEEE754的硬核浮点DSP模块。因此Cyclone 10 GX FPGA 非常适合需要增加核心级别和 I/O 性能级别的各类应用,因为对可伸缩处理和加速的需求会增加系统要求。Cyclone 10 LP FPGACyclone 10 LP FPGA基于节能的60nm工艺,并提供了一系列 IP块(如 I2C、串行外设接口 (SPI)、UART 和并行 I/O 模块)和支持超过500个I/O的封装,与前代产品相比,最新一代的设备可将核心静态功耗降低多达 50%,因此该产品非常适合需要更低静态功耗的

55、成本敏感型应用。所有 Cyclone 10 LP FPGA仅需两个核心电源即可运行,可简化配电网络,节省电路板成本、电路板空间和设计时间,其出色的灵活性,可在成本较低的小设备中完成设计,从而降低您的总体系统成本。Cyclone 10 GX 和 LP 系列都支持垂直迁移,可先在一个器件上开始进行设计,然后在完成设计后再将其迁移至相邻的器件。MAX系列 HYPERLINK s:/ altera .cn/products/fpga/max-series/max-10/overview.html MAX 10 FPGA采用了先进的工艺,在低成本、单芯片小外形封装的编程逻辑器件中实现了先进的处理功能,是

56、革命性的非易失集成器件。MAX 10 FPGA采用TSMC的55 nm嵌入式NOR闪存技术制造,通过提供瞬时接通的双配置和模拟到数字转换器 (ADC),在成熟可靠的 FPGA 功能中集成新特性。其集成功能包括模数转换器(ADC)和双配置闪存,支持在一个芯片上存储两个镜像,在镜像间动态切换。与CPLD不同,MAX 10 FPGA还包括全功能FPGA功能,例如, HYPERLINK s:/ altera .cn/products/fpga/max-series/max-10/features/max-10-nios-ii.html NiosII软核嵌入式处理器支持、数字信号处理(DSP)模块和软核

57、DDR3存储控制器等。XilinxXilinx(赛灵思)作为FPGA的发明者,是世界领先的可编程 HYPERLINK s:/baike.so /doc/6275899-6489332.html t _blank 逻辑完整 HYPERLINK s:/baike.so /doc/5901020-6113919.html t _blank 解决方案的供应商,用户主要集中在欧洲和美国地区。全球FPGA产品的60%以上是由Altera和Xilinx提供。与采用传统方法如固定逻辑门阵列相比,利用Xilinx FPGA可编程器件,客户可以更快地设计和验证他们的电路,而且由于Xilinx FPGA器件是只需要

58、进行编程的标准部件,客户不需要像采用固定逻辑芯片时那样等待样品或者付出巨额成本。因此Xilinx FPGA可编程逻辑解决方案缩短了电子设备制造商开发产品的时间并加快了产品面市的速度,从而减小了制造商的风险。开发软件ISE:Xilinx公司集成开发的工具;Foundation: Xilinx公司早期的开发工具,逐步被ISE取代;ISE Webpack:Webpack是xilinx提供的免费开发软件,功能比ISE少一些。产品系列Xilinx FPGA主要分为两大类,一种侧重低成本应用,容量中等,性能可以满足一般的逻辑设计要求,如Spartan系列;还有一种侧重于高性能应用,容量大,性能能满足各类高

59、端应用,如Virtex系列,用户可以根据自己实际应用要求进行选择。Xilinx FPGA主要分为Spartan系列、Virtex系列、Kintex系列、Artix系列、Zynq系列。在性能可以满足的情况下,优先选择低成本器件。Spartan系列Spartan系列成本低廉,总体性能指标不是很优秀,适合低成本应用场合,是Xilinx在低端FPGA市场上的主要产品。目前市场上,该系列主要包括Spartan-3、Spartan-3A、Spartan-3E、Spartan-6、Spartan-7等。Spartan-3 FPGASpartan-3 系列FPGA全球第一款90nm工艺FPGA,1.2V核供电

60、,可提供五种平台选择,每种选择都能实现可编程逻辑、连接功能和专用硬 IP 的独特成本优化型平衡,从而充分满足低成本应用的需求。 HYPERLINK s:/china.xilinx /support/documentation-navigation/silicon-devices/mature-products/spartan-3a-dsp.html Spartan-3A DSP: DSP 优化适用于需要集成型 DSP MAC 和扩展存储器的应用;理想适用于需要低成本 FPGA 的设计,支持军用无线电、监控摄像头、医学成像等信号处理应用。 HYPERLINK s:/china.xilinx /s

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论