基于状态图的设计与实现可重构电梯控制器_第1页
基于状态图的设计与实现可重构电梯控制器_第2页
基于状态图的设计与实现可重构电梯控制器_第3页
基于状态图的设计与实现可重构电梯控制器_第4页
基于状态图的设计与实现可重构电梯控制器_第5页
已阅读5页,还剩19页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、编号: 毕业设计(论文)外文翻译(译文)学 院: 机电工程学院 专 业: 电气工程及其自动化 学生姓名: 梁君剑 学 号: 0800120211 指导教师单位: 机电工程学院 姓 名: 范兴明 职 称: 副教授 2021年5月20日工业和信息系统,ICIIS2021年,2021年8月16-19日,斯里兰卡2021年第六届国际会议基于状态图的设计与实现可重构电梯控制器H.P.A.P. jayawardana,IEEE H.W.K.M. amarasekara学生会员,IEEE学生会员。P.T.S. peelikumbura,W.A.K.C. jayathilaka,SG Abeyaratne,I

2、EEE and S.D. Dewasurendra会员。摘要-本文提出了一种简单而明确的方法来设计和实施电梯可重构控制器使用一个FPGA,可与任何电梯实施(N)的楼层数,指定的输入和输出。 A型其次为基础的设计方法。我们开始从一个国家图表模型为原型电梯三层。一个楼层的数目可变的模式推广考虑。原型系统控制器梯形逻辑上实现一个PLC的局限性关于重配置方法确定:即在为“N”无楼层的电梯控制器的扩展。未来VHDL代码,开发了一种可重构的电梯控制器,通过改变相应的变量需要的楼层数,可以生成合适的代码。由此产生的控制器可以在FPGA中实现。该方法已成功地测试赛灵思中。关键词:指数条款,电梯,FPGA,可编

3、程控制器,可重构I. 引言在控制和自动化行业,可编程逻辑控制器(PLC)是常用的,基于IEC-61131-3编程环境。然而,他们是专为顺序事件处理,而不是为硬实时要求并行的反应环境处理能力。相比之下实施控制复杂的反应系统,主要是因为他们容易,现场可编程门阵列(FPGA),这是天生的并行,可以用来发展为更复杂的大系统的控制投入和产出的数量。除了可重构,它消耗更少的功率,它可以提供比较低的响应时间和扩充设计的灵活性。本文介绍的工作进行了设计和实现一个可重构的电梯控制器,它可以是电梯实施任何楼层数(N)一个简单的和用户友好的方式。有了这个设计,使用现场可编程门阵列实现控制器适用于任何电梯楼层数, H

4、.P.A.P. jayawardana,H.W.K.M. amarasekara,P.T.S. peelikumbura,和W.A.K.C. jayathilaka是最后一年的本科生部电气,电子工程,工程学院,大学佩拉德尼亚,斯里兰卡。博士S.G. Abeyaratne是在机电部门的高级讲师,电子工程学院,工程学院,的佩拉德尼亚大学,斯里兰博士S.D. Dewasurendra, MIMechE是在该部门的高级讲师,计算机工程,工程学院,Peradeniya大学,斯里兰卡。一些指定的输入和输出,可以实现仅仅通过改变一个变量n对应的数量地板。当任何事件驱动的,复杂的设计控制反应系统,开发一种正式

5、的方法处于起步阶段,有利于容易控制规范在稍后阶段实施。因此,这种做法是必不可少的发展中国家,如电梯控制系统其中有相当大的传感器的数量和需要连续几个输入作出反应。这变得更加宣判大量的地板系统,为增加了复杂性。因此,这种电梯控制器也使用基于模型的设计方法,其中1在初始阶段。也可以做一个设计验证在使用这种方法的发展阶段。在当前文件中提出的研究目标是:1,证明了一个状态图模型的发展允许模型扩展为原型电梯 “N”在MATLAB中的SIMULINK地板和模拟Stateflow中,以验证架构。2,实现了原型系统的控制器使用可编程逻辑控制器(PLC)发展的方法,以延长控制器任何一个用户友好的方式在楼层数:使用

6、IEC-61131-3语言。3,通过验证的可重构设计过程实施的可重构控制器使用FPGA在一个方便的和用户友好的方式: VHDL(IEC61691)的高密度脂蛋白。II. 拟议的Statechart模型的发展A.状态图设计我们基于模型的设计方法,在最初现阶段,我们开发状态图模型为原型电梯和它用MATLAB的SIMULINK仿真Stateflow的,它允许我们在设计验证发展阶段,成本和时间之前,先去耗时的硬件实现。随着模拟,这清楚地表明系统的状态转换,很容易识别系统的行为。此后,我们研究了这个模型扩展为“N”,没有楼层,所以这种制度的行为显然是可以理解的。在状态图模型为原型设计电梯,我们主要确定了

7、四个主要组成部分。考虑到这些,我们基本上确定三个正交子即系统的状态;投入,汽车灯。后,系统总分为分国家与AND或或分解,考虑他们的行为所示图1。有关状态转换应用适当的条件和模拟。状态图与他们的状态转换的三个主要的子状态显示图2,图3和图4。参考文献1清楚地说明了状态图的基础。虽然方法建模电梯使用状态图在最近的工作,在此提出的方法纸张减少了数个国家和模型是错误的因为它是与有关过渡条件下的模拟2B.状态图模型的扩展状态图模型模拟解释A节很容易识别系统的行为。在我们的N无的电梯模型的扩展研究置和灯子州只需要改变,而国家汽车亚健康状态的配置,可以保持不变。然而,这是不可能延长为N的模拟楼层。但是,这项

8、研究中,我们能够身份如何状态转换的条件,应调整和能够帮助我们确定的扩张背后的逻辑控制器。III. 控制器硬件实现原型电梯有3个楼层,其中控制器实施,在图5所示。对于任何电梯控制器从3图1 系统事业部进入美国接近的投入传感器,限位开关,按钮输入(厅呼叫按钮和车载 按钮)和3门位置传感器.驾驶的电梯轿厢,它需要提供2控制 主变频器变频器的输入输出推动主要异步电动机正向和反向方向。在同一时间,它是需要释放的电提供3个控制信号的电磁制动激活另一个变频器。因此,每个控制器的设计是基于这些输入/输出的协调。图2 输入子状态的状态转换图3 汽车亚健康状态的状态转换图4 轻子状态的状态转换图1,原型

9、电梯的概述IV PLC控制器的开发A.原型电梯控制器的实现由于大多数实时系统通常实施使用的PLC,在我们工作的第一阶段中,我们试图发展使用PLC控制器。起初,我们试图建立一个为原型的电梯控制器使用梯子图。图6 向前运动的梯子图图7向前运动的全部系统梯子图我们确定,我们要基本发展正向和反向两个梯形图电梯轿厢的运动,除了像其他功能门和灯。我们向前运动的发展图原型电梯。正如图6所示,我们开发了一个单独的响提出议案从floor0到floor1,和其他从地面0至floor2和floor1 floor2提出议案。位设置和整体考虑所有这些产出梯子所示系统的汽车向前运动在图7所示。同样,我们要开发16梯级三个

10、楼层。我们编程的PLC使用梯形图程序开发,我们成功实施 B.扩展为“N”无楼层控制器实施后的原型控制器电梯,我们研究了关于延长为控制器任何楼层的电梯。因为,我们会考虑下面的投入;车按钮:CCB0,CCB1,建行(N-1个)霍尔呼叫按钮: HCB0U,HCB1D,HCB1U,六氯代苯(N - 1)ð接近传感器输入: PROX0,注射Prox1 的PROX(N-1个)类似的方式,我们开发的梯子电梯的原型,我们基本考虑向前扭转汽车的议案。为了说明扩展方法,让我们再次考虑提出议案。类似方法为原型电梯,两种类型的梯子已被开发。在图8所示,需要一个单独的梯形图(N-1个)地板向前运动。

11、除了(N-2)无梯形图绘制向前运动的1,地板,(N 2),图9所示。在同一时间,整个系统的梯形图进行编辑,如在图10所示,控制器。V 与“N”无楼层电梯可重构控制器的实现与PLC的,我们有没有输入/输出的增加,使用单独的输入/输出卡。相比,FPGA的功耗也是相当高的。也使用VHDL或Verilog编程FPGA是非常描述性和可用于更广泛的范围传播概念或编程的逻辑,而不是试图同样的逻辑,在使用PLC的梯形图或连续功能图表。因此,这一计划被用于电梯控制器与“N”的楼层数使用一个FPGA的VHDL实现的。我们的主要目标是获得一个程序,在这里我们可以简单地改变地板没有达到所需的性能:即,程序会自动生成输

12、入输出。图11显示了背后的逻辑相应的代码。PLC中的不同,FPGA具有输入/输出,我们可以甚至直接在编程的位向量使用。我们用这个物业发展计划。这背后的逻辑是输入数据载体。在这程序中我们定义数据载体位等于楼层数:这些载体将产生楼层数时,会自动给出。例如:如果楼层数是3,一个输入向量将是'000'在这里,在这个方案中,我们定义了三个输入数据载体如下:接近传感器输入;汽车呼叫按钮输入;霍尔呼叫按钮输入。PROX:std_logic_vector(downto 0 N-1); HCBTNS:std_logic_vector(downto 0 N-1); CCBTNS:std_logic

13、_vector(downto 0 N-1);然后我们生成一个共同的载体,作为一个大厅的变量使用按位OR运算的呼叫按钮和汽车呼叫按钮因为任何的按钮输入,它应该是一个通话电梯轿厢的命令。变量BTNS:std_logic_vector(downto 0 N-1);我在0到n-1个循环BTNS(I)= HCBTNS_in(i)或CCBTNS_in(I);结束循环;那么我们考虑了两个比较位向量,因为这两个载体,我们可以比较简单地定义方向的电梯轿厢。例如:假设电梯轿厢是在0楼,然后从地面接近传感器输入0是'1',那么接近输入数据载体将是'001'。当一个人来到2楼和印刷机大

14、厅呼叫2楼的按钮,然后大厅呼叫按钮将输入向量'100'和汽车呼叫按钮,输入向量将是'000'和将共同载体(后或操作)'100'。然后我们比较接近传感器输入向量和共同矢量按钮输入。接近传感器的输入向量 - '001'接近传感器的输入向量 - '001'按钮输入向量 - '100'为了比较这些载体,我们这些二进制转换载体为整数,然后比较整数值;他们分配到可更新的变量接近传感器输入向量移动时改变电梯轿厢。B <= conv_integer(BTNS_in);病人:conv_integer(PROX_

15、in);让我们回到这个例子,如果接近传感器输入载体是'001'则P将是自1和按钮输入向量是'100',B将是4。然后,我们使用的程序,并给予为了使p = B和停止前进的输出车。VI. 结果一个状态图模型为原型的电梯运用合适的过渡开发和模拟条件。虽然,我们能够确定应该如何 “N”的楼层和有关延长电梯过渡条件应改变,我们不能延长仿真,软件不支持这样一个广义模图11 VHDL的逻辑代码控制器型模拟。在执行一个复杂的大系统的限制与传统的PLC输入一些说明延长开发的基于PLC的电梯控制器。可重构的电梯控制器,它可以是电梯实施“N”楼层数定义的规格设计并实现了使用一个FPG

16、A。它只是实现通过改变一个变量相应的楼层,在VHDL所需数量控制器的开发代码。在这项研究中,我们只研究关于如何扩展的基本动作电梯可以扩展为“N”楼层的电梯。 “发达国家的重构电梯控制器的可行性与FPGA板进行了成功测试。在这种方法中,我们可以发现三个主要阶段,使用状态图设计控制器,采用PLC和使用FPGA,通过某种核查在每个阶段处理:见45中的一些细节状态图基于控制器的验证。VII 结论我们只能模拟状态图模型,我们有开发原型电梯。如果可以模拟延长“N”无楼层,控制器开发稍后阶段可能容易得多。此外,进一步可以做这些模型的形式化验证研究。在与PLC控制器和研究实施扩展的可行性,我们只是试图用梯子。

17、但进一步的研究可以做它的实施,使用一些其他的PLC编程如顺序功能图语言(“证监会”)。在这项研究中,我们只注重基本的扩展电梯可重构控制器的功能。但是,如果这是将要实施一个特定的电梯一些额外的功能代码编辑,以适应其中,延长本文提出的基本方法功能。这方面的努力主要的设计目的是为控制器时,楼层数为'N',但仍有硬件配置如何研究和空间限制应不同楼层数的时 “N”。参考1 D. Harel,” 一个复杂系统的视觉形式主义”计算机程序设计科学,第一卷, vol. 8, (3), pp.231-274, 1987.2 Y-S. Huang, S-L. Chung, and M-D. Jeng

18、 ,” 建模与控制由Statecharts的电梯”, Asian Journal of Control,Vol. 6, No. 2, pp. 242-252, June 2021 3 V. A. Pedroni, “Circuit Design With VHDL”, 使用VHDL电路设计, 2021 4 P. Bhaduri and S. Ramesh, “状态图模型的模型检验:调查与研究方向”, ArXiv Computer Science e-prints, July2021 .5 D. Dewasurendra, “Statecharts的可重构模块化控制复杂的反应系统:一个新的形式验

19、证方法”, in Proceedings of ICIIS 2021 , Peradeniya, Sri Lanka, August 2021 附录共有VHDL程序“N”无电梯的开发与楼层如下。entity n_floor isgeneric (n : integer := 3);port(PROX:in std_logic_vector(n-1 downto 0);HCBTNS:in std_logic_vector(n-1 downto 0);CCBTNS:in std_logic_vector(n-1 downto 0);INV1F : out std_logic;INV1R : out

20、 std_logic;BRAKE : out std_logic;clk : in std_logic);end n_floor;architecture Behavioral of n_floor issignal status : integer range 0 to 20;signal B : integer range 0 to 100 ;beginprocess(clk,HCBTNS,CCBTNS)variable BTNS:std_logic_vector(n-1 downto 0);variable HCBTNS_in:std_logic_vector(n-1 downto 0)

21、;variable CCBTNS_in:std_logic_vector(n-1 downto 0);variable BTNS_in:std_logic_vector(n-1 downto 0);beginif (clk'event and clk='1') thenif ( HCBTNS > 0 or CCBTNS > 0 ) thenelsif (B<P and B/=0) thenstatus <= 3;elsestatus <=0;end if;end if;end if;end process;process(clk)begin

22、if clk'event and clk='1' thencase status iswhen 1 =>INV1F <='0'INV1R <='0'BRAKE <='0'when 2 =>INV1F <='1'BRAKE <='1'when 3 =>INV1R <='1'BRAKE <='1'when others =>INV1F <='0'INV1R <='

23、0'BRAKE <='0'end case;end if;end process;end Behaviora基于CAN的电梯控制系统的设计与实现Senad Huseinbegovic电气工程学院,自动控制和电子部,萨拉热窝,波斯尼亚 - 黑塞哥维那senad.huseinbegovic etf.unsa.baSEAD Kreso萨拉热窝,波斯尼亚 - 黑塞哥维那,电气工程学院,自动控制系与电子技术sarfo.baOmer Tanovic萨拉热窝,波斯尼亚 - 黑塞哥维那,电气工程学院,自动控制系与电子技术omer.tanovic etf.unsa.ba摘要:在本文

24、中,我们提出了一个现代化的电梯控制系统的设计和实施。传统的电梯控制系统有几个缺点(复杂的电路,大量的电线,对噪声的敏感度,低级别的安全性等)。另一种传统的电梯控制系统是一个分布式电梯控制系统。本文介绍了基于网络的电梯控制系统,通过控制器区域网络(CAN)。我们将展示设计的CAN网络与信息调度。本文提出了一个真正的模型实验,即基于CAN的电梯控制系统中所取得的成果。关键词:电梯控制系统,控制器区域网络; 消息调度;消息的响应时间导言近年来,随着建筑技术的发展,建筑越来越高,电梯成为重要的高层建筑垂直运输车辆。传统的电梯控制系统是一个继电器控制系统。它有像几个缺点:复杂的电路,大量的电线,对噪声的

25、敏感度,低级别的安全性。他们都极大地影响了电梯的运行质量等替代传统的电梯控制系统是基于PLC或分布式电梯控制系统的控制系统。 1,电梯控制系统的基本结构,控制原理和实现方法的基础上PLC的被给予。现代汽车应用的复杂性和物理分布需要使用分布式架构。一个典型的例子是电梯控制系统。分布式电梯控制系统,取代了集中基于PLC控制系统,并成为占主导地位基于微处理器的系统中的自动化设备过去的10年。分布式电梯控制系统,包括几个独立的智能控制单元/模块/站。控制单元,可实现与单微控制器/微处理器的单位,PLC,嵌入式电脑或PC2 - 6。一个电梯系统在行业目前的趋势是基于微控制器,微处理器或FPGA的电梯系统

26、设计的分布式控制。本文介绍了CAN的电梯控制系统和CAN网络设计的基本原则的基本结构。本文所提出的结果通过实验模型获得。II. 一个分布式电梯控制系统的体系结构创建一个分布式电梯控制系统由几个独立的控制单元和通信网络。基于单片机控制单元,通信网络基础上的Profibus,DeviceNet,CAN或Modbus。在本文提出的分布式电梯控制系统CAN网络,这是最常用的网络在低水位控制领域46 8。图1,由作者提出的显示了CAN基于电梯控制系统。电梯控制系统的基本组成部分是:大通电梯,电梯舱。电梯由一个64层的单人/双人客舱。每层有一个请求按钮,一个控制光的方向指示,电梯舱的当前位置显示。当前位置

27、传感器和加速/减速的都位于每个楼层。机舱内有单/双开门,可打开/关闭自动或手动。两个传感器告知有关门位置控制系统。一个光学传感器可以检测对象,而门被关闭。在电梯舱有一个请求按钮,控制光线和显示方向指示和当前的面板机舱的位置。电梯舱的发动机机舱移动和向下。图1 于CAN的电梯控制系统III. 基于CAN电梯控制系统的设计A. CAN的概述20世纪80年代在开发的控制器区域网络(CAN),汽车连接微处理器模块车辆7 - 9。被指定为串行总线CAN网络,可变长度的数据字段0-8字节和波特率从5Kbps到1Mbps。网络的拓扑结构可以是线性或星行。使用消息发送和接收数据帧携带数据从发射兑换到一个或多个

28、接收CUS。图2,显示了一个CAN帧格式消息。消息格式起始位(开始开始框架 - SOF)和结束位(帧结束的结束 -,EOF)。 SOF和EOF位之间,有6个领域标准格式的消息(图一)或9领域扩展消息格式(图2,B)。使用11位的标准格式标识符,并使用29位标识符的扩展格式。在本文中,我们将只专注于CAN标识符字段这是CAN网络上的消息调度的基础上 10。B.基于电梯控制系统的设计电梯控制系统的邮件可能发送和接收的流程图如图3所示。它包括三种类型的CAN消息,表示绿色,黄色和红色,由于其相对重要性。红色的消息具有最高优先级,有最低优先级.The CAN协议的短消息进行了优化,而绿色的消息。“可以

29、CUS分布式电梯控制系统之间交换的消息,被列于表1CAN网络有不同的方式组织信息。铜的每个消息的标识符字段定义的优先访问网络的消息。消息可能是定期或零星。在本文中,我们提出只有零星的消息,消息异常“地位”的呼叫,它可以是一个时期,例如定期1个小时。其中s是数据字段中的字节的数量和+10是位在CAN报文的控制领域。在标准格式消息的G值是34位X。CAN消息(帧开始包,标识符,RTR,控制,数据,CRC)的控制和数据字段使用位填充法进行编码。每当发射检测连续五年位的比特流中传送相同的价值,它会自动插入在实际传输的比特流的互补位。为S =0,G =34,在CAN位的最大数目消息是52位。其中bit是

30、最坏情况下的时间要发送一个位网络(位时间)C.消息调度消息优先级的消息标识符。最低的二进制数的标识符有最高的优先权。消息标识符被组织成两个子领域(图4)。第一子字段标识符的定义发射机的类型(大通电梯,铜舱或CU楼)。其余字段取决于模块类型,无花果组织。 5-7。图4,组织一个标识符CAN报文图5,组织模块的消息标识符“CUElevato图6,组织模块的消息标识符''CU Cabin''图7,组委会的消息标识符模块''CU Floor'IV. 执行情况和结果实施实验模型如图8所示。在实验模型中,一个铜电梯,一个铜舱和三个铜层单位都连接到CA

31、N网络。 “CAN网络的传输速度设置为125 kbps的(位时间bit是8s)。作为一个微控制器的PIC18F458的CAN通信模块,和MCP2551的CAN设备使用模块之间的CAN收发器。图8 于CAN的电梯控制系统的实验模型基于CAN的电梯控制实验模型系统可用于实时电梯应用。这实验模型作出评价的目的我们的分布式电梯的可行性和性能系统。9-22显示示波器的读数发射器和接收器之间的信息交换CAN。所有读数进行逻辑分析仪HP54620C。在网络上记录的所有邮件都符合与真实情况。表2显示的值标识符的所有邮件以及消息的持续时间在网络上和填充比特的数量。由此可以看出从表2的最高,馅位的人数为3是小于馅

32、(2)假定位。这是通过照顾位值分布内部消息。图9。消息传输CAN网络上的“模块的状态(2楼)”图10。CAN网络信息传输 厅门呼梯-5图11。 “在终端CAN网络上的消息传输图12。CAN网络上的消息传输“加速舱”图13。消息传输CAN网络上的“在地板上的舱”图14。消息传输CAN网络上的“修订指令”图15。 CAN网络上的“楼服务的信息传输”图16,信息传输网络“2楼-通讯 “图17。消息传输CAN网络上的“呼叫的状态”图18。消息传输CAN网络上的“设置模式”图19。传输网络上的信息 “说明当前1号舱的位置 “图20,传输网络上的信息 “1号舱指示方向 “结论CAN网络在本文提出的主要目标

33、之一是最低位消息长度使用。这样做的原因是信息的传输时间上的电梯系统的安全产生重大影响。没有数据字段的11位标识符已被用于组织和调度消息。实验结果可以得出这样的结论在本文中定义的消息完全履行在实际系统中的所有工作条件。在125 Kbps的传输速度最长的消息持续376微秒。旅游4m / s的电梯,电梯在传递一个信息传输的高度大约是1,55的毫米内的allowedrange的±6毫米12。这就涉及到网络上没有发生碰撞的情况下。这个高度,在发生碰撞时具有更高的价值。这种情况下,将被视为在进一步的研究。这个概念的缺点是楼层数量有限(64层的最大数量)和小木屋(2车厢的最大数量)。这也被认为是在

34、进一步的研究。参考1 X.Yang, Q.Zhu, H.Xu: ''基于PLC的电梯控制系统的设计与实践, 车间电力电与智能交通运输系统2021年。.2 M.-L.Siikonen: ''“规划和控制模型为elevaor高层建筑物“,研究报告,2021年10月,通力公司3 J.Kotzin, V.Srovnal: ''“基于CAN的分布式控制系统建模使用UML'',ICIT2021 年,马里博尔4 微处理器的电梯控制系统 - RVM的阿尔法',用户手册,交委会TELSYS,A.S.5 ''MicroZed电

35、梯控制模块8/16/24/32集体 - Version3.3”, 用户手册,学与A.S.。6 ''WP-3200微处理器的串行通讯电梯''控制器,用户手册,无锡世界知识产权组织电子7 R. Bosch: '“CAN规范,2.0版”,斯图加特,1991年。8 N.Xiaodong, Z.Yanjun: ''确定消息的CAN''迟延交付,'', 2021 .9 M.Farsi, K.Ratcliff, M.Barbosa: ''控制器区域概述网络“,网络系统,计算机与控制工程杂志,2021年6月

36、。10 T.Nolte, H.Hansson, C.Norstroem: ''“最大限度地减少响应时间抖动消息操纵“第八届IEEE RTAS'02的法律程序,2021 年。11 C.A.Skalski: ''''高性能电梯控制系统,提交工业应用学会(国际会计准则IEEE)的年度会议在墨西哥, 1983年。设计和控制一个线性推进系统对一个电梯使用线性开关磁阻电动机驱动器H. S. Lim, R. Krishnan, Fellow IEEE and N. S. Lobo高速交通系统中心,欧洲经委会部弗吉尼亚理工大学,布莱克斯堡,弗吉尼亚240

37、60 :(540)231-4311电子邮件:kramuvt。Edu摘要:本文提出并调查了线性开关磁阻电动机(LSRMs)在船舶电梯中主要作用。为了实现既定的目标,提出了新型的LSRM双定子和变换器,使它们之间没有变换器回铁。建议在LSRM的配置设计,模拟,分析和校对的传统LSRMs。其中LSRM推进子系统所需数量的研究,以求尽可能减小其重量和开发用于这一目的的优化研究。其独特之处的于安置在LSRM推进电梯系统。六套不对称桥变换器的赋予高容错的系统驱动器的设计LSRM。推进力使用一相或多相激发生成。为了减少力的脉动,从而电梯的主要要求,多相位控制励磁用已知的分布函数,是一个可以接受的解决方案。目

38、前可用的FDFS是能够减少力的脉动。因此,速度和位置控制不符合的性能要求。提出了一个新的FDF并提出克服本文由传统的造成的问题。经过派生与建议的FDF控制系统和集成的速度和位置控制。大量的仿真结果证明, LSRM具有优异的性能和它认为它可能是适合船舶电梯应用。I引言线性开关磁阻电动机(LSRMs)都没有被考虑应用大多是在电梯里,因为:控制的LSRMs不同ULT和所产生的力波动是比较高的,比其他线性机等直线感应电机1和线性永磁电机2 3。然而,LSRMs是一个有吸引力的替代方案直线感应或由于没有绕组同步电机定子或翻译机 。此外,集中绕组分布,因此它是理想更容易制造和维护。此外,LSRMs更便宜,

39、更坚固和容错,较少有热的问题。由于这些优点和“s的电力电子技术的进步,数字信号处理技术,先进的控制算法,LSRMs已越来越近研究 4-8。上LSRM开发中的应用,如电梯的现当代文学。在4,高精度的位置控制中的应用进行了讨论最大负荷是唯一4.6公斤的的。 5提出了另一种应用,但它主要用于交通运输系统。 6 7,详细的电机设计程序和电机控制算法的描述,但额定的力量在船舶的情况下只有45 N。电梯,需要约55千牛的力推进。 8,两个LSRMs的发展,使用双面“掩膜处理,然而,这种电机”掩膜是不适合有长途旅行的电梯应用距离。本文提出了一种新型船舶电梯推进LSRM,其控制以及转换器来驱动建议LSRM。特

40、别是,在设计过程中选择最佳的尺寸和数量LSRMs进行了详细描述。提出了一个新的动力分配功能(FDF)来分发多阶段激励的推进力,因为传统的FDF 6提出不适合垂直推进系统的力量控制策略。传统多相位和单相激励的比较研究表明6。此外,在本文成功地控制垂直力,电流,速度和位置控制回路组成的4个控制块推进系统得到解决。的的建议LSRM和控制计划,采用一种新型的模拟结果发展基金正在提交。设计规定船舶电梯和设计过程中的拓扑结构,适用于电梯LSRM在第二节详细介绍。与转换器第三节中所述的切换策略的描述。第四节介绍了控制器的设计过程和控制策略。第五节显示仿真结果。第六节结论总结。II. 拟议中的LSRM:拓扑结

41、构和设计图1.提出LSRM配置没有变换器回铁(8/6)表I 升船机的设计规范ItemSpecicationPeak Power Capacity, Pout27.5 kWPeak Acceleration Force, Fa55,000 NPayload, M10,000 lb (4,536 kg)Maximum Acceleration, va20.2 × g m/sVelocity vmMax: 0.5 m/sRated :1/3 m/sAcceleration time, ta170 msVoltage source, Vs230 Vac, 3-phase 图2 译机 与翻译机

42、 没有总质量翻译机 的枷锁(条件:电流密度J= 10 A/mm2,之间的比内径K =2.3,空气间隙的轴向长度为2毫米,转子极弧R=0.314o,定子极弧s=0.384o)A:LSRM拓扑翻译机 的LSRM运动部件,必须对电梯安装。因此,翻译机 的重量是一个非常重要的设计要考虑的因素。如背回通量双回路配置的传统LSRM配置是不适合的电梯系统,由于重和单位质量的推进力不足。在本文中,提出了新型的LSRM图1可见。这LSRM配置有没有一个翻译机 铁和它的目的不仅是为了减少翻译机 重量,但也增加单位质量的推进力。B.船舶电梯设计规范表我介绍了船舶设计的关键考虑电梯推进系统的使用LSRMs。正如表中看

43、出,总的有效载荷包括的大规模译员的LSRM的。此外,电梯的需要,以产生最大的27.5千瓦基于输出力55 kN和加速的0.2gm/s2其中,g是重力加速度。额定速度设置为0.33米/秒,因为它是一个合理重型率船舶升降电梯系统。C. LSRM设计过程拟议的船舶电梯的线性推进机LSRM机组成生产所需的推进力55千牛。因此,选择译员的数量是第一步,在设计过程。图2有助于选择最佳的数总重量的翻译机 没有翻译机 考虑翻译机 的枷锁。正如图2可以看出,48翻译机 适合船舶电梯,考虑到其最低总翻译机 质量。翻译机 尺寸的微调导致约13.1,总有效载荷的重量每108.8相的绕组电阻。作为一个结果,预期铜亏损了8

44、3.6千瓦,48翻译机 。在这种情况下,铜的损失超过输出电梯27.5千瓦的发电能力约三次。因此,在设计过程中,包括铜令人惊讶的出现为一体的重要损失变量中的LSRM的优化设计。图3显示了结果,考虑铜损和总质量不同电流密度在6(M6)和24(M24的)翻译机 。正如在图3可以看出,铜损下降的数量正在减少,但总重量翻译机 大会增加。LSRM设计是为了减少铜损增加效率,但在一个合理的重量限制翻译大会(可达2023的有效载荷)。从这一点,并没有其他条件和制约因素,总铜损可以小于输出权力。这个角度来看,使M24的设计成为优于M6的设计,因为总铜损M24的设计是小于输出功率和总质量在设计点约为21.7,显示

45、在图3。在M24的设计相比,M6是优于其他铜损,但总质量约30.6设计点。这些数据汇总表二, M24的设计被选中的船舶电梯。详情M24的在选定的设计点是在表三所示,表四的重量和铜损。电梯配置安装24译者如图4所示。有三种在每首曲目的翻译和百分之四侧的电梯轨道。 只有双方都用于LSRM安装。图3,总质量()和铜损(千瓦)根据电流密度6和24翻译机 D.建议LSRM建议M24的LSRM规格列于表第三。由于定子极宽度为32毫米的M24的LSRM是常规型LSRM(WSP1.2Wtp)9,不适合电梯系统,定子极宽需要从32毫米到42毫米(WSP=1.62Wtp)的增加。在定子磁极宽度情况下的模

46、拟32毫米和42毫米在第五节建议的特点具有较高的42毫米宽LSRM表四所示的是类似的。修改后的磁链和切力的数据,分别显示在图5和6。额外如电感和力量与机特点如图7所示的位置。图4,M24的电梯配置,24翻译。电梯有12个每一方的翻译上图5 M24的磁链的变化时,定子极宽WSP变化从32毫米至42毫米III.变换器选定的转换器拓扑结构是一个非对称的桥转换器。此转换器具有高容错可靠性和高控制的灵活性。不对称桥变换器,尤其是高功率应用的理想选择。所有可能的切换策略适用于本转换器。选择的切换策略,提出10作为单极开关战略。硬件算法每阶段的实施和平均输出电压(VA) 如下:图6,M24的LSR

47、M切向力的变化时,定子磁极宽度从32毫米至42毫米的WSP变化图7,电感(L),力(FX)和速率变化的感应系数方面 与WSP42毫米M24的LSRM位置x(DL / DX)Vdc 直流母线电压转换器,IA是相目前,VC是命令电压,并VRAMP是载波电压。单极开关战略有效增加一倍开关频率没有增加实际的开关开关频率。这有助于缓解电流纹波,从而减少了转矩脉动。IV. 控制策略控制建议LSRM方案由几个部件,如力,电流,速度和位置控制如图8所示。力控制和它的一个比较研究采用常规动力分配功能(FDF)在本节中提出建议发展基金。A. 力控制动力分配功能力瞬间被控制在平均意义上的,而不是因为计算的瞬时电流命

48、令电感变化率,GK(X)的价值。这种控制方案所产生的指挥力量给予 6如果实际相电流跟踪当前的命令预先力控制cisely取决于对FDFS和当前命令世代。因此,部队组成的控制回路发展基金,命令电流发生器(CCG)的和当前的控制器,如图8所示。由于高力波纹是与传统的一或在6,一个新的多阶段多阶段激励激励计划的建议。通过有效地分发需要相邻阶段的推进力与建议电影发展基金,分两个阶段贡献到的LSRM的顺利力量。表五,唯一的区别提出的FDF之间两个FDFS是发展基金中的权函数。使用传统的FDF GK2(X)/(GK2(X)+ GK2±1(X),而建议的FDF员工| GK(x)|/(GK(X)|+|

49、 GK±1(X)|)根据位置x毫米,其中k代表一个阶段。图9和10中,建议FDF和约定佐丹奴发展基金比较相电流命令切线的推进力,而不应用外战略如速度和位置控制的控制回路。结果总结在表六。可以看出,在图10和方程(3)(4),三相电流响应速度不够快跟踪与建议相电流命令(i*k)FDF和生成所需的分布式切向力(Fk)迅速。在与传统的FDF相反,图8,船舶电梯推进机LSRM的控制框图图9,传统的FDF概况:当前命令和部队指挥部方面 向电影发展基金图10。提出发展基金简介:当前命令和部队的指挥与方面 向电影发展基金使用GK2(X),而不是GK(X)在发展基金,目前阶段命令缓慢上升的平方,由于

50、重量功能电感变化率。因此,拟议的FDF产生立即高力分布满足要求力量和显着降低部队的纹波在这个阶段的减刑,后来在验证第五节 - A。B. 动态方程的LSRM和电流控制器动态方程表示为每个阶段的LSRM 6新的控制输入UK由PI电流控制器。Kpc和Kic PI电流控制器的收益这是发展10。C.速度和位置控制器的假设下,I ik ik or Fx Fx,自电流环带宽(C)是高于速度回路带宽(v),速度环简化为图11图11,简化的位置控制与P控制器的框图图12,模拟流程图图13。使用传统的FDF在0.5米/秒的力控制图14,使用传统的FDF在0.5米/秒,提前激发力控制(2毫米)图15,力控制使用提出

51、的FDF在0.5米/秒图16,力控制使用事先提出的FDF在0.5米/秒激发(2毫米)图18,速度控制与:建议LSRM(WSP=42毫米的)在±0.5米/秒半峰指挥力M24的(=1150N)A. 部队和电流控制的结果图13显示了使用conven力控制的结果佐丹奴的FDF。可以看出,波动产生力大从部队的指挥。这导致在大力的错误,-420北路如前所述,在第四节中,在方程(3),分布式的力量所产生的使用发展基金,然后在当前命令是来自分布式强制公式(4)所示。因此, GK2(X)在传统的FDF6提出,重量大的功能,分布式的力量反应慢,由于大重量的FDF。作为一个因此,分布式的力量从当前命令不能

52、上升速度不够快,使产生的力跟踪命令的力。因此,大的错误。为克服这个问题的替代方法之一,是推进相励磁。相电压绕组提前推进激发位置由XA毫米和三相电流被激活推进XA毫米。相电流在已开启瞬间增大电感等,提前清盘激发确保瞬时力的生产,并能解决人口控制问题。但是,正如图14中看到,力控制与传统的FDF,因为不起作用它生产之间的零力连续两个地区FDFS和它提前激发是无效在这些地区。因此,该部队的错误仍然是相同的这两种情况下,与不提前激发。图15使用建议显示武力控制的改进结果。如表五力误差提出了发展基金减少190 N。此外,图16显示了该建议的FDF提前激发的作品是能够减少的力量错误高达55 N是比力减少约

53、八倍由传统的FDF控制策略生成的错误。B. 速度控制结果图17显示的速度控制与结果公约与定子磁极宽度32毫米的国M24的LSRM描述表三。速度控制不能达到负使用传统的FDF的速度区域(电梯降序)如在图17可见。相比之下传统LSRM,表三中所述的建议M24的LSRM是合适的船舶电梯应用。成功的速度控制仿真结果如图18所示。C.位置控制的结果在第IV-C的位置控制策略是控制拟议M24的LSRM。此外,为机械,船舶电梯组成的24翻译体系建筑SOUTH选择。与传统的机械设计和动力分配功能(FDF)控制策略,它一直发现,控制性能以及功率效率无法得到满足。因此,为控制新的FDF和与更广泛的定子极宽的新LS

54、RM已提出。建议的制度已建模与有限元提取机特点和动态模拟。仿真结果验证了所提出的优越性控制策略以及建议LSRM设计。位置控制结果表明,这是圆满实现的±0.4米/ s的最高速度(远远高于额定±0.33米/秒的速度)。结果表明,拟议LSRM架构发展基金的建议控制策略船舶电梯应用的一个可能的和可行的候选人。作者认为,较小的版本的建议LSRM和它的控制是理想的低成本家用电梯应用。图19。位置控制在额定速度下连续运转,±0.33米。致谢这项研究是支持部门是海军研究生学校。对Ansoft公司为有限元软件公司的赠款表示感谢。参考文献1 J. F. Gieras, P. D. H

55、artzenberg, I. J. Magura, and M. Wing, “控制与电梯单面直线感应电动机驱动器.” European2 D. H. Kang, Y. H. Jeong, and M. H. Kim, “对设计的研究横向磁通直线电机具有高功率密度,” 3 W. C. Gan, N. C. Cheung, and L. Qiu, “线性位置控制开关磁阻电机,高精度应用,” IEEE Transactions on Industry Applications, Sept.-Oct. 2021 .4 H. K. Bae, B. S. Lee, P. Vijayranghavan,

56、and R. Krishnan, “一个线性开关磁阻电机:变频器和控制,” 暑期实习报告我们马上就要上大三了,在大一大二的时候,我就想应该找点工作来做做,可惜一直都因没有适当安排好时间而作废,我正想这个假期应该可以去上班试试看,总归得回归社会嘛,农村在暑期基本上农活并不多,我就想这确实是个去实习的好机会,可能今后未必就有这么好的机会。巧的是,老师也这么要求,那我就更是有这个必要去实习实习了。我刚开始就是去小镇上找找看,有没有什么活干,我看到街上的昭示,有百货超市啊,餐馆啊,还有就是招人打小工的,不过因为毕竟这个是需要盖章的,所以呢,超市就是个不错的选择,而且关键在于,在我们今天这个市场经济带动

57、作用下,懂得销售技巧是非常好的,不光要求把商品推销出去,把自己推销出去,还有把一种理念,一个招牌打出去。我本来平时就比较喜欢和人交流,就喜欢人与人交流的那种思想碰撞的感觉,人也和蔼可亲,可是呢,感觉有时候又缺乏一定的技巧,最后给人一种不存在的感觉,有些不服气,这不,这文铭家用电器超市啊是卖家用电器的,我们那边的离一公里的两个小镇都开有他们的店,他们家在一边,只能照看一头的生意,另外一头是新开的,就需要个可靠的人给他们照看家用电器和家具,他们是希望招一个能说会道而且可靠的人,给他们招呼客人。如果遇到什么生意,需要把人家客人款待好些,让他们看看家用电器和家具,给他们介绍介绍价格和性能什么的,然后说

58、服他们到老板家那个小镇去说去看,我二姨家就住在街上,和这家人关系很好,听说我需要找工作,就向他们介绍我,而且还不说,我和他们的侄女就是初中同学,我也去过他们家玩,只是他们需要招的是长期的工作人员,我说我一个月,然后把他们出的工资降低,又和他们再说说,终于就在他们的另一个小镇承担起了负责人的态度,平时我就住在我大娘家,我大娘家的对面就是那个超市。找工作的路途让我明白,这个社会人脉是很重要的,人更愿意相信和自己有些关系,有些来往的人,这会增加一种信任度,还有就是人际交流,自己需要展示好的品质,比如诚实,谦虚,和蔼可亲,有说服力。诚实是最打动人的,如果一个人油腔滑调,旁人是不会放心地任务交在他的手上,另外,如果

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论