FPGA课程设计二进制相位键控(PSK)调制器与解调器设计_第1页
FPGA课程设计二进制相位键控(PSK)调制器与解调器设计_第2页
FPGA课程设计二进制相位键控(PSK)调制器与解调器设计_第3页
FPGA课程设计二进制相位键控(PSK)调制器与解调器设计_第4页
FPGA课程设计二进制相位键控(PSK)调制器与解调器设计_第5页
已阅读5页,还剩24页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、武汉理工大学FPGA原理及应用课程设计报告附件1:学 号: 课 程 设 计题 目二进制相位键控(PSK)调制器与解调器设计学 院信息工程学院专 业通信工程班 级 姓 名指导教师陈适2014年 6月18日课程设计任务书学生姓名: 专业班级: 通信工程 指导教师: 陈适 工作单位: 信息工程学院 题 目: 二进制相位键控(PSK)调制器与解调器设计 初始条件:(1) Quartus II、ISE 等软件;(2)课程设计辅导书:Xilinx FPGA 设计与实践教程(3)先修课程:数字电子技术、模拟电子技术、通信原理主要任务:(1)掌握2CPSK、2DPSK的调制与解调原理; (2)掌握仿真软件Qu

2、artus II的使用方法; (3)完成对2CPSK、2DPSK的调制与解调仿真电路设计,并对仿真结果进行分析。时间安排:(1)2014 年6月11日-2014 年6月18日理论设计、仿真设计 地点:鉴主13 楼通信工程综合实验室、鉴主15 楼通信工程实验室。(2)2014 年6 月18 日进行理论答辩。指导教师签名: 年 月 日 系主任(或责任教师)签名: 年 月 日 摘 要移动通信迅速发展的得以实现,离不开数字处理技术。其中,数字调制与解调技术在通信领域中发挥着重大作用。为了使数字信号在带通信道中传输,必须使用数字基带信号对载波进行调制,以使信号与信道的特性匹配,再在接收端通过解调恢复出原

3、始数字信号,实现数字信息的传递。相移键控(PSK)就是数字信号调制的一种有用并且广泛使用的方式。为了很好地完成本次FPGA课程设计,我对2CPSK、2DPSK的调制与解调原理进行了深入的了解和研究;利用仿真软件Quartus II,对2CPSK、2DPSK进行调制与解调的设计和仿真,并对仿真结果进行了分析。关键词:PSK;Quartus II;数字调制;仿真Abstract Realizing the rapid development of mobile communication, cannot do without the digital processing technology. a

4、dditionly, digital modulation and demodulation technology plays an important role in the field of communication. In order to make the digital signaltransmit in a communication channel, digital baseband signal must be on the carrier modulation, so that the characteristics of the signal must match wit

5、h channel .Then the receiver through demodulation restores the original digital signal by demodulation, so transmission of digital information is accomplished. Phase shift keying (PSK)is one kind of digital signal modulation that is useful and widely . In order to complete the FPGA curriculum design

6、, I have conducted a deep study and research about the modulation and demodulation principle of 2CPSK and 2DPSK .by using the simulation software Quartus II,I design and emulate the modulation and demodulation of 2CPSK and 2DPSK, and the simulation results are analyzed.Key word: PSK; Quartus II;digi

7、tal modulation;emulation目录摘 要IAbstractII1. 前言12. 基本原理及数学模型22.1 相移键控PSK的原理22.2 2CPSK的调制与解调原理22.2.1 2CPSK的调制22.2.2 2CPSK的解调42.3 2DPSK的调制与解调原理42.3.1 2DPSK的调制42.3.2 2DPSK的解调72.4 2 CPSK和2DPSK的比较83. 仿真结果记录与分析103.1 仿真环境介绍103.2 仿真波形结果分析103.2.1 2CPSK的调制与解调113.2.2 2DPSK的调制与解调123.3 仿真生成的电路图133.3.1 2CPSK调制与解调仿真

8、生成的RTL视图及电路图133.3.3 2DPSK调制与解调仿真生成的RTL视图及电路图154. 设计及实现过程中遇到的问题165. 心得体会18参考文献19附录20附录1 2CPSK调制器的程序代码20附录2 2CPSK解调器的程序代码21附录3 2DPSK调制器绝对码转换为相对码的程序代码22附录4 2DPSK解调器相对码转换为绝对码的程序代码23II1. 前言调制解调在通信系统中具有十分重要的作用。通过调制,不仅可以进行频谱搬移,把调制信号的频谱搬移到所希望的位置上,从而将调制信号转换成合适于信道传输或便于信道多路复用的已调信号,而且它对系统的传输有效性和传输可靠性有着很大的影响。数字传

9、输系统分为基带传输系统和频带传输系统,为了适应某种需要,大部分传输系统采用频带传输。数字信号对高频载波进行调制,就变为频带信号,接收端解调后又恢复成数字信号。于是整个过程也就涉及到了一个调制解调的过程。而调制解调方法的选择与好坏直接影响到了整个通信系统的质量。移相键控在数据传输中,尤其是在中速和中高速的数据传输(2400-4800bit/s)中得到了广泛的应用。主要应用领域有数字微波通信系统、数字卫星通信系统、宽带接入与移动通信及有线电视的上行传输。相移键控有很好的抗干扰性,在有衰落的信道中也能获得很好的效果。 实际的通信系统通常是复杂的大规模系统,在噪声和各种随机因素的影响下,要完

10、成实际设计的通信系统的试验研究比较困难,有时要改变系统的某一两个参数就可能意味着整个系统需要重做,利用QuartusII的计算机仿真,具有经济、可靠、简便等特点,在工程领域得到了越来越广泛的应用。 因此本论文想要解决的问题是完成对数字相位键控(PSK)调制解调系统的仿真及相关分析。 2. 基本原理及数学模型2.1 相移键控PSK的原理 数字信号对载波相位调制称为相移键控(即相位键控)PSK( PhaseShift Keying)。数字相位调制(相位键控)是用数字基带信号控制载波的相位,使载波的相位发生跳变的一种调制方式。二进制相位键控用同一个载波的两种相位来代表数字信号。由

11、于PSK系统抗噪声性能优于ASK和FSK,而且频带利用率较高,所以,在中、高速数字通信中被广泛采用。数字调相(相位键控)常分为:(1)绝对调相,记为CPSK;(2)相对调相,记为DPSK。对于二进制的绝对调相记为2CPSK,相对调相记为2DPSK。2.2 2CPSK的调制与解调原理所谓绝对调相即CPSK,是利用载波的不同相位去直接传送数字信息的一种方式。对二进制CPSK,若用相位代表“0”码,相位0代表“1”码,即规定数字基带信号为“ 0”码时,已调信号相对于载波的相位为;数字基带信号为“1”码时,已调信号相对于载波相位为同相。按此规定,2CPS K信号的数学表示式为式中为载波的初相位。受控载

12、波在0、两个相位上变化。关于CPSK波形的特点,必须强调的是:CPSK波形相位是相对于载波相位而言的。因此画CPSK波形时,必须先把载波画好,然后根据相位的规定,才能画出它的波形。 2.2.1 2CPSK的调制CPSK调制有直接调相法和相位选择法两种方法。1. 直接调相法直接调相法的电路采用一个环形调制器。在CPSK调制中,当基带信号为正时,输出载波与输入同相,当基带信号为负时,输出载波与输入载波反相,从而实现了CPSK调制。2.相位选择法图2.1 相位选择法电路 FPGACLKSTART基带信号计数器q0相载波相载波二选一开关调制信号相位选择法电路如上图所示,设振荡器产生的载波信号为,它加到

13、与门1,同时该振荡信号经倒相器变为,加到与门2,基带信号和它的倒相信号分别作为与门1及与门2的选通信号。基带信号为1码时,与门1选通,输出为;基带信号为“0”码时,与门2选通,输出为,即可得到2CPSK信号。图2.2 2CPSK调制框图 2CPSK调制器结构图如图2.2所示。计数器对外部时钟信号进行分频与计数,并输出两路相位不同的数字载波信号;2选1开关在基带信号的控制下,对两路载波信号进行选择,输出信号即为2CPSK信号。FPGACLKSTART调制信号计数器q判决基带信号2.2.2 2CPSK的解调图2.3 2CPSK解调框图CPSK解调器的结构图如图2.3所示,计数器q输出与发射端同步的

14、0相数字载波;将计数器输出的0相载波与数字CPSK信号中的载波进行与运算,当两比较信号在判决时刻都为1时,输出为1,否则输出为0,从而实现解调。在计数器q=0时,根据调制信号此时的电平高低,来进行判决。2.3 2DPSK的调制与解调原理相对调相(相对移相),即DPSK,也称为差分调相,这种方式用载波相位的相对变化来传送数字信号,即利用前后码之间载波相位的变化表示数字基带信号的。所谓相位变化又有向量差和相位差两种定义方法。向量差是指前一码元的终相位与本码元初相位比较,是否发生相位变化。而相位差是指前后两码元的初相位是否发生了变化。对同一个基带信号,按向量差和相位差画出的DPSK波形是不同的。例如

15、在相位差法中,在绝对码出现“1”码时,DPSK的载波初相位即前后两码元的初相位相对改变。出现“0”码时,DPSK的载波相位即前后两码元的初相位相对不变。在向量差法中,在绝对码出现“1”码时,DPSK的载波初相位相对前一码元的终相位改变。出现“0”码时,DPSK的载波初相位相对前一码元的终相位连续不变。在画DPSK波形时,第一个码元波形的相位可任意假设。2.3.1 2DPSK的调制1.相对移相信号(DPSK)的产生相对移相信号(DPSK)是通过码变换加 CPSK调制产生,其产生原理如下图所示。这种方法是把原基带信号经过绝对码相对码变换后,用相对码进行CPSK调制,其输出便是DPSK信号,即相对调

16、相可以用绝对码一相对码变换加上绝对调相来实现。 图2.4 DPSK调制原理图2.绝对码一相对码变换关系若假设绝对调相按“1”码同相,“0”码相的规律调制;而相对调相按“1”码相位变化(移相),“0”码相位不变规律调制。按此规定,绝对码记为aK,相对码记为bK,绝对码一相对码变换电路如下图所示图2.5 绝对码一相对码变换电路图绝对码一相对码之间的关系为根据上述关系,绝对码与相对码(差分码)可以相互转换。图2.6 图(a)(b)分别为绝对码变为相对码的电路及波形图2.7 图(a),(b)分别为相对码变为绝对码的电路及波形图2.8 产生DPSK信号电路框图DPSK信号的产生,先需将绝对码变换为相对码

17、,然后用相对码对载波进行绝对调相,即可得到相对码调相(DPSK)信号。所介绍的绝对调相器均可产生DPSK信号,只需将绝对码变为相对码即可。 FPGACPSK调制clkstart绝对码计数器异或寄存器相对码CPSK调制调制信号图2.8 2DPSK调制框图 DPSK调制器的结构图如图2.8所示,CPSK调制器、计数器、异或门和寄存器共同完成绝对码到相对码的变换功能。2.3.2 2DPSK的解调DPSK信号的解调方法有两种:(1)极性比较法(又称同步解调或相干解调)(2)相位比较法(是一种非相干解调)。1、极性比较法在极性比较法电路中,输入的DPSK信号经带通后加到乘法器,乘法器将输入信号与载波极性

18、比较。经极性比较法电路解调,还原的是相对码。要得到原基带信号,必须经相对码一绝对码变换器,由相对码还原成绝对码,得到原绝对码基带信号。 DPSK解调器由三部分组成,乘法器和载波提取电路实际上就是相干检测器。后面的相对码(差分码)绝对码的变换电路,即相对码(差分码)译码器,其余部分完成低通判决任务。2、 相位比较法图2.9 DPSK相位比较法解调器原理框图DPSK相位比较法解调器原理框图及其相应的波形图如上图示。其基本原理是将接收到的前后码元所对应的调相波进行相位比较,它是以前一码元的载波相位作为后一码元的参考相位,所以称为相位比较法或称为差分检测法。该电路与极性比较法不同之处在于乘法器中与信号

19、相乘的不是载波,而是前一码元的信号,该信号相位随机且有噪声,它的性能低于极性比较法的性能。 输入的uDPSK信号一路直接加到乘法器,另一路经延迟线延迟一个码元的时间TB后,加到乘法器作为相干载波。若不考虑噪声影响,设前一码元载波的相位为,后一码元载波的相位为,则乘法器的输出为经低通滤波器滤除高频项,输出为式中 ,是前后码元对应的载波相位差。由调相关系知则取样判决器的判决规则为可直接解调出原绝对码基带信号。这里应强调的是,相位比较法电路是将本码元信号与前一码元信号相位比较,它适合于按相位差定义的DPSK信号的解调,对码元宽度为非整数倍载频周期的按向量差定义的DPSK信号,该电路不适用。对 CPS

20、K信号解调,该电路输出端应增加相对码变为绝对码的变换电路。FPGAclkstart相对码计数器寄存器异或绝对码图2.10 相对码绝对码转换方框图 DPSK解调器关键则是实现相对码到绝对码的转换,其结构图如图2.10所示,DPSK解调采用CPSK解调器与相对码到绝对码转换电路即可实现。其中,相对码到绝对码的转换是以在以计数器输出信号为时钟控制下完成的。2.4 2CPSK和2DPSK的比较 由以上分析可以看出,绝对移相波形规律比较简单,而相对调相波形规律较复杂。绝对调相是用已调载波的不同相位来代表基带信号的,在解调时,必须要先恢复载波,然后把载波与CPSK信号进行比较,才能恢复基带信号。由于接收端

21、恢复载波常常要采用二分频电路,它存在相位模糊,即用二分频电路恢复的载波有时与发送载波同相,有时反相,而且还会出现随机跳变,这样就给绝对调相信号的解调带来困难。而相对调相,基带信号是由相邻两码元相位的变化来表示,它与载波相位无直接关系,即使采用同步解调,也不存在相位模糊问题,因此在实际设备中,相对移相得到了广泛运用。3. 仿真结果记录与分析3.1 仿真环境介绍1. 简介图3.1 QuartusII图标Quartus II 是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Langua

22、ge)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。此外,Quartus II 通过和DSP Builder工

23、具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。Altera Quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。2. 功能Quartus II提供了完全集成且与电路结构无关的开发包环境,具有数字逻辑设计的全部特性,包括:(1)可利用原理图、结构框图、VerilogHDL、AHDL和VHDL完成电路描述,并将其保 存为设计实体文件;(2)芯片(电路)平面布局连线编辑;(3

24、)功能强大的逻辑综合工具;(4)完备的电路功能仿真与时序逻辑仿真工具;(5)定时/时序分析与关键路径延时分析; .3.2 仿真波形结果分析3.2.1 2CPSK的调制与解调(1)2CPSK调制器的仿真波形如下图所示 图3.2 2CPSK调制器的仿真波形图当start信号为高电平时,进行2CPSK调制;载波信号f1、f2是通过输入时钟脉冲clk分频得到的,且滞后输入时钟一个时钟周期;调制输出信号y滞后载波一个时钟周期,滞后输入时钟两个时钟周期。计数器q对外部时钟信号clk进行分频与计数,并输出两路相位相反的数字载波信号f1、f2;2选1开关在基带信号x的控制下,对两路载波信号进行选择,当基带信号

25、x=1时,输出信号y=f1,当基带信号x=1时,输出信号y=f2,输出信号y即为CPSK信号。(2)2CPSK解调器的仿真波形如下图所示 图3.3 2CPSK解调器的仿真波形图当start信号为高电平时,进行CPSK解调;当q=0时,根据x的电平来进行判决;输出信号y滞后输入信号x一个时钟周期。计数器q输出与发射端同步的0相数字载波;将计数器输出的0相载波与数字CPSK信号中的载波进行与运算,当两比较信号在判决时刻都为1时,输出为1,否则输出为0,从而实现解调。在计数器q=0时,根据调制信号此时的电平高低,来进行判决。3.2.2 2DPSK的调制与解调(1)2DPSK调制器绝对码转换为相对码的

26、仿真波形如下图所示图3.4 2DPSK调制器绝对码转换为相对码的仿真波形图当start信号为高电平时,进行 2DPSK调制中的绝对码转换为相对码。当q=0时,输出信号y是输入信号x与中间寄存信号xx异或,输出信号y滞后于输入信号x一个时钟周期。 相对移相信号(DPSK)是通过码变换加 CPSK调制产生。这种方法是把原基带信号经过绝对码相对码变换后,绝对码相对码变换采用基带信号与延时后的输出信号异或而得。再用相对码进行CPSK调制,其输出便是DPSK信号。(2)2DPSK解调器相对码转换为绝对码的仿真波形如下图所示图3.5 2DPSK解调器相对码转换为绝对码的仿真波形图当start信号为高电平时

27、,进行2DPSK解调中的相对码转换为绝对码。当q=3时,输出信号y是信号x与xx的异或;输出信号y滞后于输入信号x一个基带码长即4个输入时钟周期。输入信号x是前端2CPSK解调器的输出信号,经过相对码转换为绝对码后,输出信号y即为2DPSK解调出来的基带信号。3.3 仿真生成的电路图3.3.1 2CPSK调制与解调仿真生成的RTL视图及电路图1.仿真生成2CPSK调制器的RTL视图及电路符号图图3.6 2CPSK调制器的RTL视图将振荡器产生的载波信号加到与门1,同时该振荡信号经倒相器加到与门2。计数器q对外部时钟信号clk进行分频与计数,并输出两路相位相反的数字载波信号f1、f2基带信号和它

28、的倒相信号分别作为与门1及与门2的选通信号。基带信号为1码时,与门1选通,输出为f1;基带信号为“0”码时,与门2选通,输出为f2,即可得到CPSK信号。图3.7 2CPSK调制器的电路符号图2CPSK调制器端口引脚为:clk是系统时钟信号,start为控制调制开始的使能信号,x为输入的基带信号,y为已调制的2CPSK输出信号。1. 仿真生成2CPSK解调器的RTL视图及电路图图3.8 2CPSK解调器的RTL视图计数器输出与发射端同步的0相数字载波;将计数器输出的0相载波与数字CPSK信号中的载波进行与运算,当两比较信号在判决时刻都为1时,输出为1,否则输出为0,从而实现解调。图3.9 2C

29、PSK解调器的电路符号图2CPSK解调器端口引脚为:clk是系统时钟信号,start为控制调制开始的使能信号,x为输入的调制信号,y为已解调的2CPSK输出基带信号。3.3.3 2DPSK调制与解调仿真生成的RTL视图及电路图1. 仿真生成2DPSK调制器绝对码转换为相对码的RTL视图及电路图 图3.10 2DPSK调制器绝对码转换为相对码的RTL视图相对移相信号(DPSK)是通过码变换加CPSK调制产生。这种方法是把原基带信号经过绝对码相对码变换后,绝对码相对码变换采用基带信号与延时后的输出信号异或而得。再用相对码进行CPSK调制,其输出便是DPSK信号。图3.11 2DPSK调制器绝对码转

30、换为相对码的电路符号图2DPSK调制器端口引脚为:clk是系统时钟信号,start为控制调制开始的使能信号,x为输入的基带信号,y为已调制的2DPSK输出信号。2.仿真生成2DPSK解调器相对码转换为绝对码的RTL视图及电路图图3.12 2DPSK解调器相对码转换为绝对码的RTL视图 2DPSK解调器关键则是实现相对码到绝对码的转换,其结构图如上图所示,2DPSK解调采用2CPSK解调器与相对码到绝对码转换电路即可实现。其中,相对码到绝对码的转换是以在以计数器输出信号为时钟控制下完成的。图3.13 2DPSK解调器相对码转换为绝对码的电路符号图2DPSK解调器端口引脚为:clk是系统时钟信号,

31、start为控制调制开始的使能信号,x为输入的调制信号,y为已解调的2DPSK输出基带信号。4. 设计及实现过程中遇到的问题 1、在正式开始编写程序的过程中,我遇到了很多困难,先是无法观测到中间变量f1、f2、q的时序仿真,经过上网百度和与同学讨论,发现选择View菜单中的Utility Windows项的Node Finder,Filter项选中Pins:all&Registers:Post-fitting,就可以调出程序中间变量的时序仿真。 2、在仿真的过程中,又一次发现问题,时序仿真波形的时间太短,经过上网百度和与同学讨论 ,知道了点击工具

32、栏中的Tools选择Options。里面有个选项是WaveForm Editor,是关于波形设置的,其中有个Default file end time,可以编辑时序波形图的时长和单位时长。3、在仿真的过程中,又一次发现问题,时序仿真的波形结果与预想中的差别很大,于是我检查程序,修改变量值,反复调试,发现是输入变量x设置得不合理,经过调整后,最终实现预期的结果。 5. 心得体会拿到这个课程设计题目后,我开始到网上搜索相关内容,顺便到学校图书馆借相关书籍,经过不断比较与讨论,对本次课程设计的要求和实现方法有了一个大致的轮廓。本次FPGA课程设计主要任务是完成二进制相位键控(PSK)调制器

33、与解调器设计,对我来说这个题目还是很有挑战性的,因为自己对FPGA中有关数字调制器与解调器设计的设计知识了解较少,但正是这种有挑战性的题目才能提高自己的能力,才有研究价值,入手这个题目后我查阅了相关的资料,也从网上获得了不少有关FPGA设计滤波器的资料,加上自己之间对FPGA有一定的了解,因而设计思路渐渐明朗,经过自己的不断尝试和探索,终于弄明白了二进制相位键控(PSK)调制器与解调器设计的工作原理,通过查阅相关资料和研究FPGA中提供的帮助信息,我也明白了二进制相位键控(PSK)调制器与解调器设计相关的一些函数的使用方法,并用它们来设计二进制相位键控(PSK)调制器与解调器设计,最终完成了题

34、目。虽然本次设计出的二进制相位键控(PSK)调制器与解调器设计并不够完美,但我确实有一种成就感。通过这次课程设计,我加强了自己掌握和理解书本知识的能力,培养了自己的实际动手能力与综合设计能力,并提高了自己的技术素质。基本达到了FPGA课程设计的任务,明确了FPGA的基本知识与应用;在对对二进制相位键控(PSK)调制器与解调器的理论分析的过程中,我对通信原理的相关知识进行了复习,更深一个层次的掌握了通信原理的相关概念和使用技巧;同时掌握了FPGA仿真设计的基本方法,学会了运用仿真软件,绘制相应的仿真波形图,对计算出的参数进行验证,反复推导,使参数更加符合要求。在这次的课程设计中,遇到问题,最好的

35、办法就是问别人,因为每个人掌握情况不一样,不可能做到处处都懂,发挥群众的力量,复杂的事情就会变得很简单。这一点我深有体会,在很多时候,我遇到的困难或许别人之前就已遇到,向他们请教远比自己在那冥思苦想来得快。学习的这段日子确实令我收益匪浅,不仅是巩固了先前学的通的理论知识,而且也培养了我的动手能力,更令我的创造性思维得到拓展。希望今后类似这样课程设计、类似这样的锻炼机会能更多些! 在此次课程设计中我们得到老师的指点,班上同学和各位学长的帮助,我才得以圆满地完成此次设计,在此对给予支持与关怀的人士表示衷心的感谢!参考文献1王福昌等.通信原理M.清华大学出版社:2006,3.2田维新等.基于FPGA

36、 的PSK 解调器的设计与实现J.微计算机信息,2010,6-5:43-473黄智伟, FPGA 系统设计与实践M.北京:电子工业出版社,20054董在望,通信电路原理M.北京:高等教育出版社,20025侯伯亨顾新. VHDL 硬件描述语言与数字逻辑电路设计. M.西安. 西安电子科技大学出版社,19996孙学军、王秉钧.通信原理M.电子工业出版社:2001,2. 7苗长云等.现代通信原理及应用M.电子工业出版社:2005,1.8樊昌信等.通信原理M.国防工业出版社:2001, 5. 附录附录1 2CPSK调制器的程序代码library ieee;use ieee

37、.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity PL_CPSK isport(clk :in std_logic; -系统时钟 start :in std_logic; -开始调制信号 x :in std_logic; -基带信号 y :out std_logic); -已调制输出信号end PL_CPSK;architecture behav of PL_CPSK issignal q:std_logic_vector(1 downto 0); -2位计数器sign

38、al f1,f2:std_logic; -载波信号beginprocess(clk) -此进程主要是产生两重载波信号f1,f2beginif clk'event and clk='1' then if start='0' then q<="00" elsif q<="01" then f1<='1'f2<='0'q<=q+1; elsif q="11" then f1<='0'f2<='1'

39、q<="00" else f1<='0'f2<='1'q<=q+1; end if;end if;end process;process(clk,x) -此进程完成对基带信号x的调制 beginif clk'event and clk='1' then -上升沿触发 if q(0)='1' then if x='1' then y<=f1; -基带信号x为1时,输出信号y为f1 else y<=f2; -基带信号x为0时,输出信号y为f2 end if

40、; end if;end if;end process;end behav;附录2 2CPSK解调器的程序代码library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity PL_CPSK2 isport(clk :in std_logic; -系统时钟 start :in std_logic; -同步信号 x :in std_logic; -调制信号 y :out std_logic); -基带信号end PL_CPSK2;architec

41、ture behav of PL_CPSK2 issignal q:integer range 0 to 3; beginprocess(clk) -此进程完成对CPSK调制信号的解调beginif clk'event and clk='1' then if start='0' then q<=0; elsif q=0 then q<=q+1; -在q=0时,根据输入信号x的电平来进行判决 if x='1' then y<='1' else y<='0' end if; elsif q=3 then q<=0; else q<=q+1; end if;end if;end process;end behav;附录3 2DPSK调制器绝对码转换为相对码的程序代码l

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论