基于FPGA的三电平SVPWM逆变器的设计_第1页
基于FPGA的三电平SVPWM逆变器的设计_第2页
基于FPGA的三电平SVPWM逆变器的设计_第3页
基于FPGA的三电平SVPWM逆变器的设计_第4页
基于FPGA的三电平SVPWM逆变器的设计_第5页
已阅读5页,还剩13页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、基于FPGA的三电平SVPWM逆变器的设计 学 号: 姓 名: 导师姓名: 学科、专业: 控制工程 所属学院: 自动化与电气工程学院 1.课题研究目的和意义 本文从交流电机变频调速的领域出发,研究三电平SVPWM逆变系统在交流电机变频调速方面的实现方式。 十二五规划中,国家进一步加强节能减排,其中工业节能、建筑节能是重中之重。变频调速是通用的节能技术,应当在矿山、冶金、有色、建材、化工、石化等行业通过工艺、技术和设备的节能改造,实现系统节能。在现代运动控制领域中,三电平逆变技术广泛的应用于各种变频调速的场合,三电平SVPWM逆变器使得电压型逆变器的大容量化、高性能化成为可能,研究和开发三电平逆

2、变器,无论在技术上还是在实际应用上都有十分重要的意义。2.国内外的研究进展2.1国外研究动态 日本富士公司在小功率交流变频调速技术方面已生产出了最大单机容量可达700kVA的BJT(Bipolar Junction Transistor)变频器,同时IGBT变频器也已形成系列产品,其控制系统也已实现全数字化。 德国西门子公司在中功率变频调速技术方面,已生产出了单机容量为10kVA-2600kVA的电流型晶闸管变频调速设备和单机容量为100kVA-900kVA的PWM(Pulse Width Modulation)变频调速设备,其控制系统已实现全数字化,用于电力机车,风机、水泵传动。 瑞士ABB

3、公司在大功率无换向器电机变频调速技术方面,提供了单机容量为600KW的设备用于抽水蓄能电站。 法国阿尔斯通在大功率交一交变频(循环变流器)调速技术方面,能提供单机容量达300KW的电气传动设备用于船舶推进系统。2.1国内研究动态 据“2009-2012年中低压变频器行业报告”,内资品牌占70以上,但市场份额却仅约24%,虽然内资品牌的市场份额在快速扩大,但大部分市场仍被十余个欧美品牌和日本品牌所占据。究其原因,国产变频器所采用的核心器件绝大多数是来自国外的芯片生产厂家。3 课题主要研究内容3.1电压型三电平逆变器整体方案论述图1 三电平变频系统整体结构框图3.2二极管钳位式三电平逆变器拓扑结构

4、的研究 上图是一个中点钳位三电平逆变电路图,C1和C2是并联在输入直流电源侧的两只分压电容,C1=C2,为直流电压源中点;D1-D6为电源中点与直流侧桥臂中点的钳位二极管。图2中点钳位三电平逆变电路拓补结构图 A相各桥臂可控器件控制极信号时序如图3所示。由图3可见:Ug1与Ug3、Ug2与Ug4相位上互补;Ug1与Ug4、Ug2与Ug3的相位互差180。图3 三相中点钳位式方波逆变电路A相电量波形图3.3三电平SVPWM控制技术 l电压与磁链空间矢量的关系电压与磁链空间矢量的关系用合成空间矢量表示的定子电压方程式为用合成空间矢量表示的定子电压方程式为tRddssssIu式中式中: us 定子三

5、相电压合成空间矢量;定子三相电压合成空间矢量; Is 定子三相电流合成空间矢量;定子三相电流合成空间矢量;s 定子三相磁链合成空间矢量。定子三相磁链合成空间矢量。 当电动机转速不是很低时,定子电阻压降在上式中所占的成分很小,当电动机转速不是很低时,定子电阻压降在上式中所占的成分很小,可忽略不计,则定子合成电压与合成磁链空间矢量的近似关系为可忽略不计,则定子合成电压与合成磁链空间矢量的近似关系为 t ddssu (2)(3) 当电动机由三相平衡正弦电压供电时,电动机定子磁链当电动机由三相平衡正弦电压供电时,电动机定子磁链幅值恒定,其空间矢量以恒速旋转,磁链矢量顶端的运动轨幅值恒定,其空间矢量以恒

6、速旋转,磁链矢量顶端的运动轨迹呈圆形(一般简称为磁链圆)。这样的定子磁链旋转矢量迹呈圆形(一般简称为磁链圆)。这样的定子磁链旋转矢量可用下式表示。可用下式表示。t1jmse其中其中 m是磁链是磁链s的幅值,的幅值, 1为其旋转角速度。为其旋转角速度。)2( jm1jm1jms111eej)e(ddttttu 由上式可得由上式可得 上式表明,当磁链幅值一定时,上式表明,当磁链幅值一定时,us的大小与的大小与 1(或供电电压频率)(或供电电压频率)成正比,其方向则与磁链矢量正交,即磁链圆的切线方向。成正比,其方向则与磁链矢量正交,即磁链圆的切线方向。 如图所示,当磁链矢量在空间旋转一周时,电压矢量

7、也连续地按如图所示,当磁链矢量在空间旋转一周时,电压矢量也连续地按磁链圆的切线方向运动磁链圆的切线方向运动2 弧度,其轨迹与磁链圆重合。弧度,其轨迹与磁链圆重合。 这样,电动机旋转磁场的轨迹问题就可转化为电压空间矢量的这样,电动机旋转磁场的轨迹问题就可转化为电压空间矢量的运动轨迹问题运动轨迹问题。 (4)(5) 三电平逆变器三相电压经过Park变换后的空间电压矢量为)(3234320jcojbaoeUeUUU 对三相对称系统来说,共可组合成3*3 (27)种开关状态,而每一种开关状态对应一个电压空间矢量,因此三电平逆变器电压空间矢量共有27个不同的矢量组成,如图5所示。将幅值为2Ed/3的矢量

8、定义为大矢量,如PNN、PPN;幅值为 的矢量定义为中电压矢量,如PON;幅值为Ed/3的矢量定义为小电压矢量,如POO、ONN,并把开关状态有P和O组成的小矢量称为正小矢量,如POO,把开关状态有N和O组成的小矢量称为负小矢量,如NOO,小矢量总是成对出现的;零矢量为PPP、NNN、OOO。表2为三电平空间电压矢量汇总表。其中,Uao、Ubo、Uco分别为A、B、C三相电压相对于直流侧中点O的输出电压。3/3Ed(6)图5 三电平逆变器的空间电压矢量分布图表2三电平空间电压矢量汇总表 如图5所示,6个大矢量将空间矢量图分为6个正三角形区域,以大矢量PNN为起始沿逆时针每60依次定义为扇区,。

9、进一步地可以将每个正三角形区域分为4个小三角形,这样整个电压空间图一共可以分为24个小三角形,如图6所示。任何平衡的三相参考电压都可在空间电压矢量图上以一空间矢量表示。对每个60的大三角区域进行分析,然后根据对称性,可以得到整个360范围工作情况的分析。图7为参考矢量位于扇区I的B三角中时,所使用的空间矢量为PON、PNN、POO/ONN。图6 三电平空间矢量图扇区划分图7 扇区I中的参考矢量合成 SVPWM的首要任务就是判断参考电压矢量位于哪个区域及该区域中的那个三角形,然后依次确定出相应的输出电压矢量。首先,根据参考矢量的幅角确定出该矢量位于6个正六边形区域中的哪一个,判断出矢量所在的位置

10、后就可以根据表3得到合成参考矢量的输出电压矢量。表3 扇区I中各小三角形相应的输出电压矢量3.3.4首发零矢量的SVPWM作用模式 以参考矢量位于扇区为例,采用3个电压矢量U1,U3,U4。本设计初步采用首发矢量为正小矢量的控制模式。当参考矢量位于扇区中,输出矢量的次序为POOPONPNNONNONNPNNPONPOO。第扇区内的开关序列与逆变器三相电压波形(首发正小矢量)如图8所示。图8第扇区内的开关序列与逆变器三相电压波形(首发正小矢量)3.3.5 SVPWM模式作用下的定子磁链轨迹 如上所述,如果一个扇区分成4个Ts小区间,则一个周期中将出现24个脉冲波,而功率器件的开关次数也必将增多,

11、应选用高开关频率的功率器件。当然,一个扇区内所分的小区间越多,就越能逼近圆形旋转磁链轨迹。 在每个60扇区内都有各自相应的逆变器开关序列和三相电压波形(即PWM工作模式)。首发矢量采用正小矢量或者负小矢量都可以,改变的只是矢量合成过程中的电压矢量输出次序,各电压矢量的作用时间不变。实际上,这种逆变器的开关序列和电压波形就对应着IGBT开关器件的栅极驱动信号时序。通过控制IGBT栅极驱动电压,就可以得到期望的输出电压波形。N=4时,360( 1个周期)内定子旋转磁链矢量轨迹如图10所示。图10 N=4时,360( 1个周期)内定子旋转磁链矢量轨迹3.4方案设计l(1)对两电平逆变器的工作原理进行

12、介绍,并在此基础上详细研究二极管钳位式三电平逆变器的拓扑结构以及控制要求。l(2)论述空间电压矢量调制(SVPWM)技术的基本原理并建立其数学模型,分析空间电压矢量调制(SVPWM)算法相对于其它方法的优点。l(3)在完成以上对算法的分析后,结合该算法的处理方式,提出一种减少开关损耗的优化策略。l(4)应用MATLAB/SIMULINK仿真技术,对SVPWM系统进行建模,并对SVPWM算法和优化策略进行仿真。将建模过程和仿真结果作为硬件设计时的参照和硬件仿真结果的评价标准。l(5)在QUARTUS II环境下,采用Verilog语言设计一个通过按键和拨码开关实现倍频与分频选择模块,两相位的DD

13、S信号发生器模块,SVPWM算法模块(包括clerke变换模块,SVPWM逆变器切换时间模块)和三角波模块。并用Verilog语言编程实现SVPWM优化策略,分别对常规模式和优化模式下的SVPWM系统进行编译仿真。给出仿真和实验波形,验证了二极管钳位型三电平逆变器通过自身拓扑结构的改进,可以用较小的功率开关管输出较大的功率,并且由于输出电压电平数的增加,使得输出波形的谐波畸变率更小。通过对比MATLAB下的仿真结果,确定了该基于FPGA的数字式SVPWM系统设计方案的正确性和可行性。 四、论文进度安排:l第一阶段2013年5月2013年9月l1.查阅资料,完成基于FPGA的三电平SVPWM逆变

14、器系统的初步设计方案l2.学习三电平SVPWM逆变技术,基于动态模型的异步电动机调速系统和Verilog数字系统设计。l3.学习QUARTUS II数字设计程序编译软件,MODELSIM时序仿真软件为最终实验结果分析做准备。l第二阶段2013年9月2013年4月l1.继续查阅资料,寻求一种最优化的算法以及简单实用的中点电位平衡方法并对其进行详细阐述。l2.对SPWM逆变器进行理论分析、仿真实验,和SVPWM逆变器的实验波形进行对比。l3.确定三电平SVPWM逆变器的数学模型,设计出基于FPGA的三电平SVPWM逆变系统的整体方案。l4.编写算法与程序,完成系统设计l5.对实验结果进行验证分析l6.撰写论文l第三阶段2014年4月2014年6月 l准备答辩 五、预期达到研究结果:l1利用三电平SVPWM控制策略设计一个基于FPGA的二极管钳位式逆变系统,该逆变系统的输出频率可以在0-50Hz内调节,可用于拖动交流电机以构成变频调速系统。l2研究SVPWM算法的实现过程。在完成了对算法的分析后,结合该算法的处理方式,研究一种减少开关损耗的优化策略。l3采用MATLAB/SIMULINK仿真技术对该算法进行仿真,产生较为理想的三相SVPWM调制

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论