自动售货机代码_第1页
自动售货机代码_第2页
自动售货机代码_第3页
自动售货机代码_第4页
自动售货机代码_第5页
已阅读5页,还剩7页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、.聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃

2、膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁

3、肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈

4、罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅

5、羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀

6、袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈

7、螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅

8、腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂

9、肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀

10、肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇

11、羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅

12、袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂

13、袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀

14、膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇

15、肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂

16、肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿

17、羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇

18、羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄

19、袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂

20、膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿

21、腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇

22、肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄

23、羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇荿莀蕿羀芅莀蚂膅膁荿袄羈膇莈羆袁蒆莇蚆肆莂莆螈衿芈莅袁肅膄莄薀袇肀蒄蚂肃莈蒃螅袆芄蒂羇肁芀蒁蚇羄膆蒀蝿腿肂葿袁

24、羂莁蒈薁膈芇薈蚃羁膃薇螆膆聿薆袈罿蒈薅蚈螂莄薄螀肇艿薃袂袀膅薂薂肅肁薂蚄袈莀蚁螇肄芆蚀衿袇膂虿薈肂肈蚈螁袅蒇蚇袃膀莃蚆羅羃艿蚆蚅腿膅节螇羁肁芁袀膇芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇蚈肆芄蝿袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄肅羇莄螇袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇蚈肆芄蝿袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄肅羇莄螇袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇

25、螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇蚈肆芄蝿袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄肅羇莄螇袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇蚈肆芄蝿袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄肅羇莄螇袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅

26、虿芄芆蒄袅膀芅薇蚈肆芄蝿袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄肅羇莄螇袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇蚈肆芄蝿袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄肅羇莄螇袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇蚈肆芄蝿袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀

27、羆膆莆薂衿肂莅蚄肅羇莄螇袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇蚈肆芄蝿袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄肅羇莄螇袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇蚈肆芄蝿袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄肅羇莄螇袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇

28、羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇蚈肆芄蝿袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄肅羇莄螇袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇蚈肆芄蝿袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄肅羇莄螇袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄

29、袅膀芅薇蚈肆芄蝿袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄肅羇莄螇袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇蚈肆芄蝿袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄肅羇莄螇袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇蚈肆芄蝿袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂

30、衿肂莅蚄肅羇莄螇袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇蚈肆芄蝿袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄肅羇莄螇袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇蚈肆芄蝿袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄肅羇莄螇袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿

31、螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇蚈肆芄蝿袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄肅羇莄螇袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇蚈肆芄蝿袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄肅羇莄螇袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇

32、蚈肆芄蝿袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄肅羇莄螇袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇蚈肆芄蝿袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄肅羇莄螇袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇蚈肆芄蝿袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄

33、肅羇莄螇袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇蚈肆芄蝿袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄肅羇莄螇袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇蚈肆芄蝿袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄肅羇莄螇袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂

34、罿膁薅螄螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇蚈肆芄蝿袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄肅羇莄螇袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇蚈肆芄蝿袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄肅羇莄螇袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇蚈肆芄蝿

35、袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄肅羇莄螇袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇蚈肆芄蝿袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄肅羇莄螇袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇蚈肆芄蝿袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄肅羇莄螇

36、袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇蚈肆芄蝿袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄肅羇莄螇袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇蚈肆芄蝿袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄肅羇莄螇袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄

37、螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇蚈肆芄蝿袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄肅羇莄螇袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇蚈肆芄蝿袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄肅羇莄螇袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇蚈肆芄蝿袃肂芃葿

38、蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄肅羇莄螇袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇蚈肆芄蝿袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄肅羇莄螇袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇蚈肆芄蝿袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄肅羇莄螇袇芆莄蒆

39、蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇蚈肆芄蝿袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄肅羇莄螇袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇蚈肆芄蝿袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄肅羇莄螇袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄

40、羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇蚈肆芄蝿袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄肅羇莄螇袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇蚈肆芄蝿袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄肅羇莄螇袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇蚈肆芄蝿袃肂芃葿蚆羈节薁

41、羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄肅羇莄螇袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇蚈肆芄蝿袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄肅羇莄螇袇芆莄蒆蚀膂莃蕿袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃膁薆螀罿膀螈肅芈腿蒈袈膄膈薀肄肀膇蚃袇羆膆螅虿芄芆蒄袅膀芅薇蚈肆芄蝿袃肂芃葿蚆羈节薁羁芇芁蚃螄膃芀螆羀聿芀蒅螃羅荿薈羈袁莈蚀螁膀莇莀羆膆莆薂衿肂莅蚄肅羇莄螇袇芆莄蒆蚀膂莃蕿

42、袆肈蒂蚁虿羄蒁莀袄袀蒀蒃蚇艿葿蚅羂膅蒈螇螅肁蒈蒇羁羇蒇蕿螃芅蒆蚂罿膁薅螄螂肇薄蒄羇羃膁薆螀 自动售货机控制电路设计程序功能本系统简单的完成了对街头自动售货机的一个模拟,算是一个小型售货机,可以完成简单的商品选择与投币处理,及输出找零等一系列流程。调试和解决方案调试步骤具体的调试步骤如下:运行Quartus II,建立新的工程。建立文本文件Verilog HDL File。在文件中写入程序。保存,编译。分配管脚。保存,编译。将生成的.sof文件写入FPGA试验箱中。根据要求选择几种买东西的可能情况,在实验箱上实验,观察记录结果。调试现象选择买1.5元的商品,投5元的金额,调试现象如下:选择1.5

43、元商品按下5元投币键 LED显示找零情况和商品。选择1.5元的商品,投1元的金额,调试现象如下:选择1.5元的商品,按下1元的投币键,找零显示1元,退回所投的钱,蜂鸣器响。测试成功使用仪器1.电脑一台,安装了ModelSim软件和QuartusII软件。2.FPGA试验箱一个,内有2组4位共阳极数码管,6个LED灯。程序代码/先选择物品并投币module seller(clock,reset,s1,s2,s3,s4,s5,s6,s7,LED,buzzer,prc1,cs1,prc2,cs2);/clock时钟信号,reset确认信号和复位信号/LED代表售出标志,buzzer蜂鸣器报警标志金额

44、不够/prc1数码管显示商品价格,prc2数码管显示找零/cs表示数码管片选,cs1 选择控制商品价格显示,cs2 选择控制找零显示/s1:投币5角 s2:投币一元 s3:投币五元 /s4:购买五角商品 s5:购买一元商品 s6:购买一元五角商品 s7:购买二元商品input clock,reset,s1,s2,s3,s4,s5,s6,s7;output LED,buzzer,prc1,cs1,prc2,cs2;reg buzzer;reg 3:0 LED,cs1,cs2;reg 7:0 prc1,prc2;/a.b.c.d.e.f.g.dpreg 7:0 divtime=8'b0;r

45、eg 28:0 deltime=29'b0;always ( posedge clock)/控制片选信号的选择begin divtime=divtime+1; endalways ( posedge clock)begindeltime=deltime+1;if(deltime28=1'b1)begindeltime=29'b0;endif(reset)begindeltime=29'b0;endendalways ( posedge clock) beginLED=4'b1111;/all LED ONbuzzer=1'b0;/蜂鸣器不响 if

46、(reset) begin LED=4'b0000;/all LED OFFbuzzer=1'b0;/buzzer OFFcs2=4'b1111;cs1=4'b1111;end else begin case(s1,s2,s3,s4,s5,s6,s7)/*投入0.5时 7'b1001000: /buy 0.5 begin if(divtime7=1'b0)begin/价格数码管显示0.5,货物1售出LED1亮,找零0.0 cs1=4'b1110; prc1=8'b00000010;/show 0. cs2=4'b1110;

47、 prc2=8'b00000010;/show 0.endif(divtime7=1'b1) begin cs1=4'b1101; prc1=8'b01001001;/show 5 cs2=4'b1101; prc2=8'b00000011;/show 0end LED=4'b1000;if(deltime28=1'b1)/等待一段时间售货机自动恢复到初始状态 begin /back to initialLED=4'b1111;/all LED ONbuzzer=1'b0;/buzzer OFFcs2=4'

48、b1111;cs1=4'b1111;endend 7'b1000100: /buy 1beginif(divtime7=1'b0) begin cs1=4'b1110; /投入金额不够,价格数码管显示1.0,LED不亮。蜂鸣器报警 prc1=8'b10011110;/show 1. cs2=4'b1110; prc2=8'b00000010;/show 0. endif(divtime7=1'b1) begin cs1=4'b1101; prc1=8'b00000011;/show 0 cs2=4'b110

49、1; prc2=8'b01001001;/show 5 end buzzer=1;end 7'b1000010: /buy 1.5begin/投入金额不够,价格数码管显示1.5,LED不亮。蜂鸣器报警 if(divtime7=1'b0) begin cs1=4'b1110; prc1=8'b10011110;/show 1. cs2=4'b1110; prc2=8'b00000010;/show 0. end if(divtime7=1'b1)begincs1=4'b1101;prc1=8'b01001001;/s

50、how 5cs2=4'b1101;prc2=8'b01001001;/show 5end buzzer=1; end 7'b1000001: /buy 2begin /投入金额不够,价格数码管显示2.0,LED不亮。蜂鸣器报警if(divtime7=1'b0)begin cs1=4'b1110;prc1=8'b00100100;cs2=4'b1110;prc2=8'b00000010;endif(divtime7=1'b1)begin cs1=4'b1101; prc1=8'b00000011;cs2=4&

51、#39;b1101; prc2=8'b01001001;endbuzzer=1; end /*投入1.0时 7'b0101000: /buy 0.5begin /价格数码管显示0.5,货物1售出,LED1亮,找零0.5if(divtime7=1'b0)begin cs1=4'b1110; prc1=8'b00000010;/show 0. cs2=4'b1110; prc2=8'b00000010;/show 0.endif(divtime7=1'b1)begin cs1=4'b1101; prc1=8'b0100

52、1001;/show 5 cs2=4'b1101; prc2=8'b01001001;/show 5endLED=4'b1000; if(deltime28=1'b1)/等待一段时间售货机自动恢复到初始状态 begin /back to initialLED=4'b1111;/all LED ONbuzzer=1'b0;/buzzer OFFcs2=4'b1111;cs1=4'b1111;endend 7'b0100100: /buy 1begin /价格数码管显示1.0,货物2售出,LED2亮,不找零 if(divtim

53、e7=1'b0)begin cs1=4'b1110; prc1=8'b10011110;/show 1. cs2=4'b1110; prc2=8'b00000010;/show 0.end if(divtime7=1'b1)begin cs1=4'b1101; prc1=8'b00000011;/show 0 cs2=4'b1101; prc2=8'b00000011;/show 0 endLED=4'b0100;if(deltime28=1'b1)/等待一段时间售货机自动恢复到初始状态 begin

54、 /back to initialLED=4'b1111;/all LED ONbuzzer=1'b0;/buzzer OFFcs2=4'b1111;cs1=4'b1111;endend 7'b0100010: /buy1.5begin /投入金额不够,价格数码管显示1.5,LED不亮。蜂鸣器报警if(divtime7=1'b0)begin cs1=4'b1110; prc1=8'b10011110;/show 1. cs2=4'b1110; prc2=8'b10011110;/show 1.endif(divtime7=1'

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论