EDA技术与应用:第1章 EDA技术概述_第1页
EDA技术与应用:第1章 EDA技术概述_第2页
EDA技术与应用:第1章 EDA技术概述_第3页
EDA技术与应用:第1章 EDA技术概述_第4页
EDA技术与应用:第1章 EDA技术概述_第5页
已阅读5页,还剩50页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、1.1 1.1 EDAEDA技术及发展技术及发展 20世纪末,数字电子技术得到飞速发展,有力地推动了社会世纪末,数字电子技术得到飞速发展,有力地推动了社会生产力的发展和社会信息化的提高。在其推动下,数字电子技生产力的发展和社会信息化的提高。在其推动下,数字电子技术的应用已经渗透到人类生活的各个方面。从计算机到手机,术的应用已经渗透到人类生活的各个方面。从计算机到手机,从数字电话到数字电视,从家用电器到军用设备,从工业自动从数字电话到数字电视,从家用电器到军用设备,从工业自动化到航天技术,都尽可能采用数字电子技术。化到航天技术,都尽可能采用数字电子技术。本章介绍本章介绍EDA技术的发展、技术的发

2、展、EDA设计流程以及设计流程以及EDA技技术涉及的领域。术涉及的领域。 微电子技术,即大规模集成电路加工技术的进步微电子技术,即大规模集成电路加工技术的进步是现代数字电子技术发展的基础。目前,在硅片单是现代数字电子技术发展的基础。目前,在硅片单位面积上集成的晶体管数量越来越多位面积上集成的晶体管数量越来越多单片电子系统单片电子系统SOC(System On a Chip)19781978年年,8086,8086微处理器微处理器: :集成集成4 4万只万只晶体管晶体管20002000年年, ,Pentium 4 Pentium 4 微处理器:集成微处理器:集成42004200万只万只晶体晶体管

3、管 20052005年,年,PLD: PLD: 集成集成5 5亿只晶体管(亿只晶体管(1818万个逻辑元件)万个逻辑元件)20092009年,年,PLD: PLD: 集成集成2525亿只晶体管亿只晶体管(84万个逻辑元件)万个逻辑元件) 现代电子设计技术的核心是现代电子设计技术的核心是EDA(Electronic Design Automation)技术。)技术。 EDA技术就是依靠功能强大的电子计算机,在技术就是依靠功能强大的电子计算机,在EDA工具软件工具软件平台上,对以硬件描述语言平台上,对以硬件描述语言HDL(Hardware Description Language)为系统逻辑描述手

4、段完成的设计文件,自动地完)为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、优化、仿真,直至下载到可成逻辑编译、化简、分割、综合、优化、仿真,直至下载到可编程逻辑器件编程逻辑器件CPLD/FPGA或专用集成电路或专用集成电路ASIC(Application Specific Integrated Circuit)芯片中,实现既)芯片中,实现既定的电子电路设计功能。定的电子电路设计功能。提高了设计效率,减少设计周期,节省设计成本提高了设计效率,减少设计周期,节省设计成本HDL语言语言EDA工具工具 EDA是在是在20世纪世纪90年代初发展起来的。年代初发展起来的。 一般把

5、一般把EDA技术的发展分为三个阶段:技术的发展分为三个阶段: (1) CAD(Computer Aided Design)是)是EDA技术发技术发展的早期阶段展的早期阶段: 主要借助计算机对所设计的电路进行主要借助计算机对所设计的电路进行一些模拟和预测,辅助进行集成电路版图编辑、印刷一些模拟和预测,辅助进行集成电路版图编辑、印刷电路板电路板PCB(Printed Circuit Board)布局布线等简单)布局布线等简单的版图绘制等工作。的版图绘制等工作。 原理图编辑原理图编辑PCB板布线板布线 (2)CAE(Computer Aided Engineering)是在)是在CAD的工具逐步完善

6、的基础上发展起来的的工具逐步完善的基础上发展起来的:可以利用可以利用计算机作为单点设计工具,并建立各种设计单元库,计算机作为单点设计工具,并建立各种设计单元库,开始用计算机将许多单点工具集成在一起使用,实开始用计算机将许多单点工具集成在一起使用,实现现原理图输入,逻辑仿真,电路分析,自动布局布原理图输入,逻辑仿真,电路分析,自动布局布线,线,PCB后分析等后分析等,大大提高了工作效率。,大大提高了工作效率。 (3)EDA阶段:阶段:20世纪世纪90年代以来,微电子工艺有年代以来,微电子工艺有了惊人的发展,促进了了惊人的发展,促进了EDA技术的形成。技术的形成。 今天,今天,EDA技术已经成为电

7、子设计的重要工具,技术已经成为电子设计的重要工具,无论是设计芯片还是设计系统,如果没有无论是设计芯片还是设计系统,如果没有EDA工具工具的支持都将是难以完成的。的支持都将是难以完成的。EDA工具已经成为现代工具已经成为现代电路设计师的重要武器,正在起作越来越重要的作电路设计师的重要武器,正在起作越来越重要的作用。用。设计准备设计准备设计输入设计输入原理图原理图硬件描述语言硬件描述语言波形图波形图功能仿真功能仿真设计处理设计处理优化、综合优化、综合适配、分割适配、分割布局、布线布局、布线时序仿真时序仿真器件编程器件编程 器件测试器件测试设计完成设计完成 1.2.1 设计准备设计准备 设计准备是指

8、设计者在进行设计之前,依据任务要求,确设计准备是指设计者在进行设计之前,依据任务要求,确定系统所要完成的功能及复杂程度,器件资源的利用、成本定系统所要完成的功能及复杂程度,器件资源的利用、成本等所要做的准备工作,如等所要做的准备工作,如进行方案论证进行方案论证、系统设计系统设计和和器件选器件选择择等。等。1.2.2 设计输入设计输入 设计输入设计输入-将设计的系统或电路以开发软件要求的某将设计的系统或电路以开发软件要求的某种形式表示出来,并送入计算机的过程。种形式表示出来,并送入计算机的过程。 设计输入形式设计输入形式: :1. 原理图或图形输入方式原理图或图形输入方式 这是一种最直接的设计输

9、入方式这是一种最直接的设计输入方式 使用场合:这种方式大多用在对系统及各部分使用场合:这种方式大多用在对系统及各部分电路很熟悉的情况,或在系统对时间特性要求较高电路很熟悉的情况,或在系统对时间特性要求较高的场合。的场合。 优点:是容易实现仿真,便于信号的观察和电优点:是容易实现仿真,便于信号的观察和电路的调整。路的调整。 2. 硬件描述语言输入方式硬件描述语言输入方式 硬件描述语言有硬件描述语言有普通硬件描述语言普通硬件描述语言和和行为描述语言行为描述语言,它们用文本方式描述设计和输入。它们用文本方式描述设计和输入。 普通硬件描述语言普通硬件描述语言有有AHDL、CUPL等,它们支等,它们支持

10、逻辑方程、真值表、状态机等逻辑表达方式。持逻辑方程、真值表、状态机等逻辑表达方式。 行为描述语言行为描述语言是目前常用的高层硬件描述语言,是目前常用的高层硬件描述语言,有有VHDL和和Verilog HDL等,它们具有很强的逻辑描等,它们具有很强的逻辑描述和仿真功能,可实现与工艺无关的编程与设计,述和仿真功能,可实现与工艺无关的编程与设计,可以使设计者在系统设计、逻辑验证阶段便确立方可以使设计者在系统设计、逻辑验证阶段便确立方案的可行性,而且输入效率高,在不同的设计输入案的可行性,而且输入效率高,在不同的设计输入库之间转换也非常方便。库之间转换也非常方便。运用运用VHDL、Verilog HD

11、L硬件描述语言进行设计已是当前的趋势硬件描述语言进行设计已是当前的趋势。硬件描述语言输入方式硬件描述语言输入方式3. 波形输入方式波形输入方式 波形输入主要用于建立和编辑波形设计文件以及波形输入主要用于建立和编辑波形设计文件以及输入仿真向量和功能测试向量。输入仿真向量和功能测试向量。 波形设计输入适合用于:时序逻辑和有重复性的波形设计输入适合用于:时序逻辑和有重复性的逻辑函数,系统软件可以根据用户定义的输入逻辑函数,系统软件可以根据用户定义的输入/输出波输出波形自动生成逻辑关系。形自动生成逻辑关系。 波形输入方式还具有直观呈观仿真结果、便于对波形输入方式还具有直观呈观仿真结果、便于对仿真结果进

12、行比较的优点。仿真结果进行比较的优点。 1.2.3 设计处理设计处理 这是这是EDA设计中的设计中的核心环节核心环节。在设计处理阶段,。在设计处理阶段,编译软件将对设计输入文件进行逻辑化简、综合和编译软件将对设计输入文件进行逻辑化简、综合和优化,并适当地用一片或多片器件自动地进行适配,优化,并适当地用一片或多片器件自动地进行适配,最后产生编程用的编程文件。设计处理主要包括最后产生编程用的编程文件。设计处理主要包括设设计编译和检查、逻辑优化和综合、适配和分割、布计编译和检查、逻辑优化和综合、适配和分割、布局和布线、生成编程数据文件局和布线、生成编程数据文件等过程。等过程。1. 1. 设计编译和检

13、查设计编译和检查 设计输入完成之后,立即进行编译。设计输入完成之后,立即进行编译。 在编译过程:在编译过程: 语法检验语法检验-如检查原理图的信号线有无漏接,如检查原理图的信号线有无漏接,信号有无双重来源,文本输入文件中关键字有无错信号有无双重来源,文本输入文件中关键字有无错误等各种语法错误,并及时标出错误的位置信息报误等各种语法错误,并及时标出错误的位置信息报告,供设计者修改告,供设计者修改 设计规则检验设计规则检验-检查总的设计有无超出器件资检查总的设计有无超出器件资源或规定的限制并将编译报告列出,指明违反规则源或规定的限制并将编译报告列出,指明违反规则和潜在不可靠电路的情况以供设计者纠正

14、和潜在不可靠电路的情况以供设计者纠正 . .2. 逻辑优化和综合逻辑优化和综合 逻辑优化逻辑优化化简所有的逻辑方程或用户自建的宏,化简所有的逻辑方程或用户自建的宏,使设计所占用的资源最少。使设计所占用的资源最少。 综合综合目的是将多个模块化设计文件合并为一个目的是将多个模块化设计文件合并为一个网表文件,并使层次设计平面化(即展平)。网表文件,并使层次设计平面化(即展平)。确定优化以后的逻辑能否与确定优化以后的逻辑能否与下载目标器件下载目标器件CPLD或或FPGA中中的宏单元和的宏单元和I/O单元适配单元适配将设计分割为多个便于适配的逻辑将设计分割为多个便于适配的逻辑小块形式小块形式映射到器件相

15、应的宏单元中映射到器件相应的宏单元中将整个设计自动分割成多块并将整个设计自动分割成多块并装入装入同一系列同一系列的的多片器件中多片器件中去。去。不能适配不能适配可以适配可以适配3. 适配和分割适配和分割 在适配和分割过程在适配和分割过程4. 布局和布线布局和布线 布局和布线工作是在设计检验通过以后由软件自动布局和布线工作是在设计检验通过以后由软件自动完成的,它能以最优的方式对逻辑元件布局,并准确完成的,它能以最优的方式对逻辑元件布局,并准确地实现元件间的布线互连。地实现元件间的布线互连。5. 生成编程数据文件(生成编程数据文件(JED文件)文件) 设计处理的最后一步是产生可供器件编程使用的数设

16、计处理的最后一步是产生可供器件编程使用的数据文件。据文件。 CPLD熔丝图文件熔丝图文件,即,即JEDEC文件(电子器件工文件(电子器件工程联合会制定的标准格式,简称程联合会制定的标准格式,简称JED文件)文件) FPGA位流数据文件位流数据文件(Bit-stream Generation)1.2.4 设计校验设计校验 设计校验过程包括设计校验过程包括功能仿真功能仿真和和时序仿真时序仿真,这两项,这两项工作是在设计处理过程中同时进行的。工作是在设计处理过程中同时进行的。 功能仿真又称为功能仿真又称为前仿真前仿真。此时的仿真没有延时。此时的仿真没有延时信息或者有由系统添加的微小标准延时,这对于初

17、步信息或者有由系统添加的微小标准延时,这对于初步的功能检测非常方便。的功能检测非常方便。 时序仿真又称为时序仿真又称为后仿真后仿真或或延时仿真延时仿真。在设计处。在设计处理以后,对系统和各模块进行时序仿真,分析其时序理以后,对系统和各模块进行时序仿真,分析其时序关系,估计设计的性能以及检查和消除竞争冒险等。关系,估计设计的性能以及检查和消除竞争冒险等。1.2.5 器件编程器件编程 编程编程是指将设计处理中产生的编程数据文件通过是指将设计处理中产生的编程数据文件通过软件放到具体的可编程逻辑器件中去。软件放到具体的可编程逻辑器件中去。 CPLDJED文件文件(下载下载)CPLD器件器件 FPGAB

18、G文件文件 (配置配置) FPGA器件器件编程方式:编程方式: (1)普通的普通的CPLD器件和一次性编程的器件和一次性编程的FPGA需要专用的编程器完成器件的编程工作需要专用的编程器完成器件的编程工作 (2)基于基于SRAM的的FPGA可以由可以由EPROM或其或其他存储体进行配置他存储体进行配置 (3)在系统的可编程器件(在系统的可编程器件(ISP-PLD)则不需则不需要专门的编程器,只要一根与计算机互连的下载要专门的编程器,只要一根与计算机互连的下载编程电缆就可以了编程电缆就可以了1.2.6 器件测试和设计验证器件测试和设计验证 器件在编程完毕之后,可以用编译时产生的文件器件在编程完毕之

19、后,可以用编译时产生的文件对器件进行对器件进行检验检验、加密加密等工作,或采用边界扫描测等工作,或采用边界扫描测试技术进行试技术进行功能测试功能测试,测试成功后才完成其设计。,测试成功后才完成其设计。设计验证可以在设计验证可以在EDA硬件开发平台上进行硬件开发平台上进行 硬件描述语言硬件描述语言HDL是是EDA技术中的重要技术中的重要组成部分,常用的硬件描述语言有组成部分,常用的硬件描述语言有AHDL、VHDL和和Verilog HDL,而,而VHDL和和Verilog HDL是当前最流行的并成为是当前最流行的并成为IEEE标准的硬标准的硬件描述语言。件描述语言。 用用VHDL语言编写的语言编

20、写的8线线-3线优先编码器线优先编码器用用Verilog HDL语言编写的语言编写的8线线-3线优先编码器线优先编码器用用AHDL语言编写的语言编写的8线线-3线优先编码器线优先编码器 VHDL是超高速集成电路硬件描述语言(是超高速集成电路硬件描述语言(Very-High-Speed Integrated Circuit Hardware Description Language)的缩写。)的缩写。 VHDL作为作为IEEE标准的硬件描述语言和标准的硬件描述语言和EDA的重要的重要组成部分,以其组成部分,以其强大的系统描述能力、规范的程序设计强大的系统描述能力、规范的程序设计结构、灵活的语言表

21、达风格和多层次的仿真测试手段结构、灵活的语言表达风格和多层次的仿真测试手段,在电子设计领域受到了普遍的认同和广泛的接受,成为在电子设计领域受到了普遍的认同和广泛的接受,成为现代现代EDA领域的首选硬件设计语言。领域的首选硬件设计语言。 VHDL的特点的特点1、VHDL具有具有强大的功能,覆盖面广,描述能力强强大的功能,覆盖面广,描述能力强。VHDL支持门级电路的描述,也支持以寄存器、存贮支持门级电路的描述,也支持以寄存器、存贮器、总线及运算单元等构成的寄存器传输级电路的器、总线及运算单元等构成的寄存器传输级电路的描述,还支持以行为算法和结构的混合描述为对象描述,还支持以行为算法和结构的混合描述

22、为对象的系统级电路的描述。的系统级电路的描述。 2、VHDL有有良好的可读性良好的可读性。它可以被计算机接受,。它可以被计算机接受,也容易被读者理解。用也容易被读者理解。用VHDL书写的源文件,既书写的源文件,既是程序又是文档,既是工程技术人员之间交换信是程序又是文档,既是工程技术人员之间交换信息的文件,又可作为合同签约者之间的文件。息的文件,又可作为合同签约者之间的文件。3、VHDL具有具有良好的可移植性良好的可移植性。作为一种已被。作为一种已被IEEE承认的工业标准,承认的工业标准,VHDL事实上已成为通用事实上已成为通用的硬件描述语言,可以在各种不同的设计环境和的硬件描述语言,可以在各种

23、不同的设计环境和系统平台中使用。系统平台中使用。VHDL源程序源程序可以在可以在ModelSim环环境下使用境下使用可以在可以在NCLaunch环环境下使用境下使用其它其它EDA环境环境4、使用、使用VHDL可以延长设计的生命周期可以延长设计的生命周期。用。用VHDL描述的硬件电路与工艺无关,不会因工艺而是描述描述的硬件电路与工艺无关,不会因工艺而是描述过时。与工艺有关的参数可以通过过时。与工艺有关的参数可以通过VHDL提供的属提供的属性加以描述,工艺改变时,只需要修改相应程序中性加以描述,工艺改变时,只需要修改相应程序中属性参数即可。属性参数即可。 如:某工程师用如:某工程师用VHDL语言为

24、某一型号的芯片语言为某一型号的芯片编写了实现某些功能的程序,当这一型号的编写了实现某些功能的程序,当这一型号的PLD芯片停产了,换用另一种芯片停产了,换用另一种PLD芯片时,他芯片时,他只须对程序做点修改,修改一些属性参数即可。只须对程序做点修改,修改一些属性参数即可。5、VHDL支持对大规模设计的分解和已有设计的再支持对大规模设计的分解和已有设计的再利用利用。VHDL可以描述复杂的电路系统,支持对大可以描述复杂的电路系统,支持对大规模设计的分解,由多人、多项目组来共同承担和规模设计的分解,由多人、多项目组来共同承担和完成。标准化的规则和风格,为设计的再利用提供完成。标准化的规则和风格,为设计

25、的再利用提供了有力的支持。了有力的支持。6、VHDL有利于保护知识产权有利于保护知识产权。用。用VHDL设计的专设计的专用集成电路(用集成电路(ASIC),在设计文件下载到集成电路),在设计文件下载到集成电路时可以采用一定保密措施,使其不易被破译和窃取。时可以采用一定保密措施,使其不易被破译和窃取。 用用VHDLVHDL实现数字电路设计的过程实现数字电路设计的过程编辑源程序编辑源程序编译设计文件编译设计文件功能仿真功能仿真逻辑综合逻辑综合时序仿真时序仿真编程下载编程下载硬件调试硬件调试目标芯片目标芯片 Verilog HDL也是目前应用最为广泛的硬件描述也是目前应用最为广泛的硬件描述语言,并被

26、语言,并被IEEE采纳为采纳为IEEE#1064-1995标准。标准。Verilog HDL可以用来进行各种层次的逻辑设计,也可以用来进行各种层次的逻辑设计,也可以进行数字系统的逻辑综合、仿真验证和时序分可以进行数字系统的逻辑综合、仿真验证和时序分析。析。Verilog HDL适合算法级(适合算法级(Algorithm)、寄存器)、寄存器传输级(传输级(RTL)逻辑级()逻辑级(Logic)、门级()、门级(Gate)和)和版图级(版图级(Layout)等各个层次的电路设计和描述。)等各个层次的电路设计和描述。 采用采用Verilog HDL进行电路设计的最大优点是进行电路设计的最大优点是其其

27、与工艺无关性与工艺无关性,这使得设计者在进行电路设计时可,这使得设计者在进行电路设计时可以不必过多考虑工艺实现的具体细节,只需要根据以不必过多考虑工艺实现的具体细节,只需要根据系统设计的要求施加不同的约束条件,即可设计出系统设计的要求施加不同的约束条件,即可设计出实际电路。实际电路。 实际上,利用计算机的强大功能,在实际上,利用计算机的强大功能,在EDA工具工具的支持下,把逻辑验证与具体工艺库相匹配,将布的支持下,把逻辑验证与具体工艺库相匹配,将布线及延迟计算分成不同的阶段来实现,从而减少了线及延迟计算分成不同的阶段来实现,从而减少了设计者的繁重劳动。设计者的繁重劳动。 Verilog HDL

28、和和VHDL都是用于电路设计的硬件描都是用于电路设计的硬件描述语言,并且都已成为述语言,并且都已成为IEEE标准。标准。Verilog HDL也具也具有与有与VHDL类似的特点,稍有不同的是(优点):类似的特点,稍有不同的是(优点): Verilog HDL Verilog HDL拥有广泛的设计群体,其设计资拥有广泛的设计群体,其设计资源比源比VHDLVHDL丰富。丰富。 Verilog HDL Verilog HDL是在是在C C语言的基础上演化而来的,语言的基础上演化而来的,因此只要具有因此只要具有C C语言的编程基础,就很容易学会并掌语言的编程基础,就很容易学会并掌握这种语言。握这种语言

29、。 1.3.3 AHDL AHDL(Altera Hardware Description Language)是)是Altera公司公司根据自己公司生产的根据自己公司生产的MAX系列器件系列器件和和FLEX系列器件系列器件的特点专门设计的一套完整的硬件描述语言。的特点专门设计的一套完整的硬件描述语言。 AHDL是一种模块化的硬件描述语言,是一种模块化的硬件描述语言,它完全集成它完全集成于于Altera公司的公司的MAX+plusII的软件开发系统中的软件开发系统中。AHDL特别适合于描述复杂的组合电路、组(特别适合于描述复杂的组合电路、组(group)运算以)运算以及状态机、真值表和参数化的逻

30、辑。用户可以通过及状态机、真值表和参数化的逻辑。用户可以通过MAX+plusII的软件开发系统对的软件开发系统对AHDL源程序进行编辑,源程序进行编辑,并通过对源文件的编译建立仿真、时域分析和器件编程并通过对源文件的编译建立仿真、时域分析和器件编程的输出文件。的输出文件。AHDL的语句和元素种类齐全、功能强大,而且易的语句和元素种类齐全、功能强大,而且易于应用。于应用。用户可以使用用户可以使用AHDL建立完整层次的工程建立完整层次的工程设计项目,或者在一个层次的设计中混合其他类型设计项目,或者在一个层次的设计中混合其他类型的设计文件的设计文件,例如,例如VHDL设计文件或设计文件或Verilo

31、g HDL设设计文件。计文件。 可编程逻辑器件可编程逻辑器件PLD(Programmable Logic Device)是一种半定制集成电路,在其内部集成了)是一种半定制集成电路,在其内部集成了大量的门和触发器等基本逻辑电路,用户通过编程大量的门和触发器等基本逻辑电路,用户通过编程来改变来改变PLD内部电路的逻辑关系或连线,就可以得内部电路的逻辑关系或连线,就可以得到需要的设计电路。到需要的设计电路。* * 在可编程逻辑器件在可编程逻辑器件PLD没有出现之前,数字系统没有出现之前,数字系统的传统设计往往采用的传统设计往往采用“积木积木” 式式的方法进行,实质上的方法进行,实质上是是对电路板进行

32、设计,通过标准集成电路器件搭建成对电路板进行设计,通过标准集成电路器件搭建成电路板来实现系统功能,即先由器件搭成电路板,再电路板来实现系统功能,即先由器件搭成电路板,再由电路板搭成系统由电路板搭成系统。标准集标准集成电路成电路电路板电路板系统系统 “积木积木”式方法缺点:对设计者没有灵活性式方法缺点:对设计者没有灵活性可言,搭成的系统需要的芯片种类多且数目可言,搭成的系统需要的芯片种类多且数目大。大。“自底向上自底向上”(Bottom-Up)的设计的设计: 采用采用PLD进行的数字系统设计,是基于芯片的设计进行的数字系统设计,是基于芯片的设计或称之为或称之为“自底向上自底向上”(Bottom-

33、Up)的设计,它跟传的设计,它跟传统的积木式设计有本质的不同。统的积木式设计有本质的不同。它可以直接通过设计它可以直接通过设计PLD芯片来实现数字系统功能,将原来由电路板设计芯片来实现数字系统功能,将原来由电路板设计完成的大部分工作放在完成的大部分工作放在PLD芯片的设计中进行芯片的设计中进行。 这种新的设计方法能够由设计者根据实际情况和要这种新的设计方法能够由设计者根据实际情况和要求定义器件的内部逻辑关系和管脚,这样可通过芯片求定义器件的内部逻辑关系和管脚,这样可通过芯片设计实现多种数字系统功能,同时由于管脚定义的灵设计实现多种数字系统功能,同时由于管脚定义的灵活性,不但大大减轻了系统设计的

34、工作量和难度,提活性,不但大大减轻了系统设计的工作量和难度,提高了工作效率,而且还可以减少芯片数量,缩小系统高了工作效率,而且还可以减少芯片数量,缩小系统体积,降低能源消耗,提高系统的稳定性和可靠性。体积,降低能源消耗,提高系统的稳定性和可靠性。 目前,可编程逻辑器件有许多品种。集成度是集成目前,可编程逻辑器件有许多品种。集成度是集成电路一项很重要的指标,可编程逻辑器件从集成密度电路一项很重要的指标,可编程逻辑器件从集成密度上可分为低密度上可分为低密度可编程逻辑器件可编程逻辑器件LDPLD和和高密度可编高密度可编程逻辑器件程逻辑器件HDPLD两类。两类。PLDLDPLD(1000门)门)PRO

35、MPLAPALGALEPLDCPLDFPGA按集成度分类按集成度分类 HDPLD包括可擦除可编程逻辑器件包括可擦除可编程逻辑器件EPLD(Erasable Programmable Logic Device)、复杂可编)、复杂可编程逻辑器件程逻辑器件CPLD(Complex PLD)和)和FPGA三种,其三种,其集成密度大于集成密度大于101000门门/片。片。 随着集成工艺的发展,随着集成工艺的发展, HDPLD集成密度不断增加,集成密度不断增加,性能不断提高。如性能不断提高。如Altera公司的公司的EPM9560,其密度为,其密度为12000门门/片,片,Lattice公司的公司的pLS

36、I/ispLSI3320为为14000门门/片等。片等。 目前集成度最高的目前集成度最高的HDPLD可达可达25万门万门/片以上。片以上。 目前常用的可编程逻辑器件都是从与或阵列和门阵目前常用的可编程逻辑器件都是从与或阵列和门阵列发展起来的,所以可以从结构上将其分为列发展起来的,所以可以从结构上将其分为阵列型阵列型PLD和和现场可编程门阵列型现场可编程门阵列型FPGA两大类。两大类。 阵列型阵列型PLD的基本结构由与阵列和或阵列组成。的基本结构由与阵列和或阵列组成。简单简单PLD(如(如PROM、PLA、PAL和和GAL等)、等)、EPLD和和CPLD都属于阵列型都属于阵列型PLD。 FPGA

37、具有门阵列的结构形式,它有许多可编具有门阵列的结构形式,它有许多可编程单元(或称逻辑功能块)排成阵列组成,这些逻程单元(或称逻辑功能块)排成阵列组成,这些逻辑单元的结构和与或阵列的结构不同,也称之为单辑单元的结构和与或阵列的结构不同,也称之为单元型元型PLD。 系统设计系统设计模块模块A A模块模块B B模块模块C C模块模块A1模块模块A2模块模块A3模块模块B1模块模块B2模块模块B3模块模块C1模块模块C2模块模块C3图7.15 “自顶向下自顶向下”设计法示意图设计法示意图“自顶向下自顶向下”(Top - DownTop - Down)的设计的设计: :硬件描述语言硬件描述语言HDL使使

38、PLD的设计有了的设计有了“自顶向下自顶向下”(Top - Down)的设计方法的设计方法 用用EDA技术设计电路可以分为不同的技术环节,技术设计电路可以分为不同的技术环节,每一个环节中必须有对应的软件包或专用的每一个环节中必须有对应的软件包或专用的EDA工工具独立处理。具独立处理。EDA工具大致可以分为工具大致可以分为设计输入编辑设计输入编辑器器、仿真器仿真器、HDL综合器综合器、适配器(或布局布线器)适配器(或布局布线器)以及以及下载器下载器等等5个模块。个模块。1.5.1 设计输入编辑器设计输入编辑器 通常专业的通常专业的EDA工具供应商或各可编程逻辑器件厂工具供应商或各可编程逻辑器件厂

39、商都提供商都提供EDA开发工具,在这些开发工具,在这些EDA开发工具中都含开发工具中都含有设计输入编辑器,如有设计输入编辑器,如Xilinx公司的公司的Foundation、Altera公司的公司的MAX+plusII等。等。 一般的设计输入编辑器都支持一般的设计输入编辑器都支持图形输入图形输入和和HDL文文本输入本输入。图形输入通常包括原理图输入、状态图输入。图形输入通常包括原理图输入、状态图输入和波形图输入三种常用方法。原理图输入方式沿用传和波形图输入三种常用方法。原理图输入方式沿用传统的数字系统设计方式,即根据设计电路的功能和控统的数字系统设计方式,即根据设计电路的功能和控制条件,画出设

40、计的原理图或状态图或波形图,然后制条件,画出设计的原理图或状态图或波形图,然后在设计输入编辑器的支持下,将这些图形输入到计算在设计输入编辑器的支持下,将这些图形输入到计算机中,形成图形文件。机中,形成图形文件。 1.5.2 仿真器仿真器 在在EDA技术中仿真的地位非常重要,行为模型的表技术中仿真的地位非常重要,行为模型的表达、电子系统的建模、逻辑电路的验证以及门级系统达、电子系统的建模、逻辑电路的验证以及门级系统的测试,每一步都离不开仿真器的模拟检测。在的测试,每一步都离不开仿真器的模拟检测。在EDA发展的初期,快速地进行电路逻辑仿真是当时的核心发展的初期,快速地进行电路逻辑仿真是当时的核心问题,即使在现在,各个环节的仿真仍然是整个问题,即使在现在,各个环节的仿真仍然是整个EDA设计流程中最重要、最耗时的一个步骤。因此,仿真设计流程中最重要、最耗时的一个步骤。因此,仿真器的仿真速度、仿真的准确性和易用性成为衡量仿真器的仿真速度、仿真的准确性和易用性成为

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论