英文专有名词介绍_第1页
英文专有名词介绍_第2页
英文专有名词介绍_第3页
英文专有名词介绍_第4页
英文专有名词介绍_第5页
已阅读5页,还剩7页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、英文专有名词介绍1. General (一般专有名词)英 文 专 有 名 词中 文 说 明(数字表示有详注)LCD (Liquid Crystal Display)液晶显示器*注.Glass, substrate or glass substrate玻璃基版*注.TFT(Thin Film Transistor)薄膜晶体管*注.Panel面板Array排列,指在玻璃基板上做TFT的制程LCD-ArrayCell液晶填充制程.分为LCD-FEOL(Cell前段)LCD-BEOL(Cell后段含Cell Tester)Module模块,指后段组装制程LCMMonitor监视器Pixel XGA:

2、extended Graphics Array=1024*768PixelsSXGA: Super XGA=1280*1024Pixels像素*注.PS. 像素越多表示分辨率越高Computer计算机Notebook笔记型计算机(简称为NB)RGB (Red, Green, Blue)指红绿蓝三原色PM (Preventive Maintenance)预防保养Quality品质Standard标准 (指作业标准或品质指针)Material材料Yield良率CIM (Computer Integration Manufacturing)计算机整合制造(指以计算机系统整合制造流程)FA (Fact

3、ory Automation)工厂自动化Exit出口Precaution预防措施Warning警告Emergency紧急Alarm警报2. Clean Room (洁净室专有名词)英 文 专 有 名 词中 文 说 明Clean room洁净室*注.Particle微粒子*注.HEPA (High Efficient Particulate Air) filter高效能粒子空气过滤网Contamination污染Temperature (TEMP)温度Humidity湿度Pressure压力UPW (Ultra-Pure Water)超纯水DIW (De-Ionized Water)去离子水IP

4、A (Isopropyl Alcohol)异丙醇Sticky mat脚踏粘垫*注.Cleanliness洁净度ESD (Electro-static Discharge)静电破坏*注.Laminar flow层流(流体力学名词)Turbulent flow扰流(流体力学名词)Alcohol酒精Acetone丙酮Particle微粒子Dust灰尘Gowning room换衣间*注.Raised floor (grating floor)高架地板*注.Air shower气浴室*注.Prohibit禁止Clean suit (bunny suit, dust-free garment)无尘衣*注.

5、Glove手套Hairnet网帽Hood头罩Mask口罩Clean shoes (dust-free shoes, boots)无尘鞋3. Factory Automation (工厂自动化专有名词)英 文 专 有 名 词中 文 说 明Vehicle运输工具或载具AGV (Automatic Guided Vehicle)自动搬运车MGV (Manual Guided Vehicle)人力搬运车Clean lifter天井传送车LIM (Linear Induction Motor) Carrier线性感应马达传送载具OHS (Overhead Shuttle)天车或称轨道车Stocker (

6、clean depot)存放Cassette(架子)的暂存区Battery电池Bay作业区Bumper保险杠Charger充电器Controller控制器Conveyor输送带Crane吊车(在Stocker内)FFU (Fan Filter Unit)风扇过滤器Host主机I/O (Input / Output)输入/输出Inter-bay作业区和作业区之间Intra-bay作业区之内IR (Infra-Red)红外线IRIF(Infra-Red Inter-Face)红外线接口Load进料Unload卸货Magnetic tapeAGV路径所使用的磁条POSEIDON海神生产操作系统Ret

7、rieve【计算机】检索,撷取(资料)RTM (Rotary Transfer Machine)旋转传送机SCARA armAGV之传送手臂Reset重新设定Transportation传输*注.OPI(Operation POSEIDON Instruction)海神生产操作系统专有名词介绍英 文 专 有 名 词中 文 说 明Recipe程序,制程参数Stock out将Cassette取出Request请求,要求Transfer传送,运送Instruction命令,指令Select选择Cancel取消Operation作业,操作Support支持Process制程Start开始Comp.C

8、ompletion的缩写,意指完成Batch批量Lot指生产线上的在制品或产品,简称货ID (Identity)识别码(如Lot ID or Chip ID)Sheet片(Array区玻璃基版计数单位)*注.Chip片(Cell区玻璃计数单位)*注.Inspection检验Defect缺陷Production生产Hold留置在当站制程(如有品质问题时)Release将hold住的货放行,释出Equipment设备(简称为EQP)Tool工具,机台WIP (Work In Process)在制品(制程在制品)Maintenance维修保养Cassette装在制品的架子*注.Empty空的Rese

9、rve预约Report报告Scrap报废Rework重工Log on登帐Log off除帐Note批注5. Array段制程专有名词介绍英 文 专 有 名 词中 文 说 明Material材料Metal金属Target靶MoW (Moly-tungsten)钨化钼Mo (Molybdenum)钼ITO (Indium Tin Oxide)铟锡氧化物Al (Aluminum)铝AlNd(Aluminum and Neodymium Alloy)铝和钕的合金以上皆为溅镀机金属靶的材料之一Reticle or Mask光罩Detergent (LH-300)界面活性剂的一种(清洗机用来清洗玻璃表面用

10、LH-300为供货商型号)LAL-50含NH4F与HF,为清洗机用来清洗玻璃表面氧化层的化学溶液O3(Ozone)臭氧,主要为各制程用来清除有机物的污染或残留NBA (1-butyl Acetate)乙酸正丁酯,主要用来清洗旋转涂布光阻时残留在玻璃边缘的光阻液Resist or Photo Resist 光阻(简称PR)HMDSHexamethyldisilazane的简写,为一种化学中间体,用以增加光阻涂布时对芯片表面之附着力AC-1带静电防止剂(ESD-Preventer),在上光阻机内使用,防止静电产生,破坏玻璃组件TMAH (供货商型号为KTM-25)Tetra-Methyl Ammo

11、nium Hydroxide的简写,为厂内所使用之显影液Oxalic Acid (H2C2O4)草酸,湿蚀刻机中用来蚀刻5PEP中的a-ITO膜DHF成份为49%氢氟酸HF,主要为湿蚀刻机中用来蚀刻7PEP中的SiNx膜ITO-Etchant成份中含盐酸HCl及硝酸HNO3,主要用来蚀刻7PEP中的Poly-ITOBHF成份中含氟化铵NH4F及HF,主要用来蚀刻7PEP中的SiONAl-Etchant成份中含乙酸CH3COOH、磷酸H3PO4及硝酸HNO3,主要用来蚀刻Mo/Al/Mo的沉积层IPA异丙醇 Isopropyl Alcohol的简称,主要用来作为设备擦拭液,在去光阻制程中亦用来清

12、除玻璃基板上的有机残留物(如光阻或去光阻液)N-300去光阻液,N-300为厂商型号,成份为单乙醇铵与单丁醚的混合物(Process) Gas(制程)气体目前大多数种类的气体,多为提供CVD,Sputter及干蚀刻电浆源之用SiH4硅甲烷制程气体(泄漏有爆炸危险)NH3氨制程气体N2O笑气制程气体PH3磷化氢制程气体N2氮气制程气体,常用为破真空Vent或吹干的媒介H2氢气制程气体NF3氟化氮制程气体,常用为清除CVD反应室壁沉积硅Si媒介Kr氪气制程气体,用来轰击溅镀机上的金属靶 Ar氩气制程气体,用来轰击溅镀机上的金属靶或常用为加热设备的热传媒介O2常用来作电浆的基本组成,BCl3氯化硼制

13、程气体,在干蚀刻中用以作为蚀刻AlNd的电浆源SF6氟化硫制程气体,常用的主要干蚀刻电浆源以为提供蚀刻主原料氟的来源He氦气制程气体,混合在其它制程气体中,共同形成电浆源,使电浆组成分布均匀Cl2氯气制程气体HCl氯化氢制程气体,蚀刻n+时的电浆源之一CF4四氟化碳制程气体,常用的主要干蚀刻电浆源以为提供蚀刻主原料氟的来源Equipment机台(仪器)Vender厂商Cleaner清洗机*注.CVD (Chemical Vapor Deposition)化学气相沉积*注.Sputter溅镀机*注.Coater上光阻机*注.Pre-bake预烘*注.Stepper步进式曝光机*注.Exposur

14、e曝光Backside-Exposure背面曝光Titler刻号机,厂内部分的显影机具有此功能,将玻璃基板的Chip ID, Glass ID及Veri-Code曝出,以为人员及机台办认之用Edge Remover简称ER,指在旋转涂布光阻后,用NBA洗净残留在玻璃边缘的光阻Edge Exposure边缘曝光,指在显影前将玻璃基板边缘光阻较厚的部分再曝光,以防曝光量不足,造成光阻在显影后残留Developer显影机*注.Hard bake硬烤*注.Etcher蚀刻机Wet Etch湿蚀刻*注.Dry Etch干蚀刻*注.Plasma电浆*注.RIE (Reactive ion etching)

15、反应性离子蚀刻*注.PE (Plasma Etch)电浆蚀刻机*注ICP (Inductive Coupled Plasma)电感偶式电浆蚀刻机*注Stripper去光阻机*注O3 Asher为去光阻机的模块之一,用来去除制程的有机残留*注Tester测试机Anneal 回火*注. AMSR (Sheet Resistance) 沉积膜的电阻值测试设备ATOS (Open/Short Tester)断短路测试机ATTG (TEG Tester or TFT Device Measurement)TFT的电性测试设备ATAR (Array Tester)Array Defect的测试设备ALSR

16、 (Laser Repair)雷射修补机ANNI (Anneal Oven)回火设备AMGI (Particle Counter)微粒子侦测,侦测玻璃表面微粒子数目及大小分布AMOR; AMKL (Pattern Inspection)图案或线路检验设备; 主要在检视沉积膜后、曝光后、蚀刻后及去光阻后表面的线路图案检查(前者简称Orbo, 后者简称KLA)AMSP (Surface Profiler)表面轮廓检查机,测量线路图案的高低分布状况,亦可藉此求得蚀刻速率(简称KLA-Tencor)AMOV (CD/Overlay)量测设备用以测量关键线宽CD, 及藉量测Box重叠状况来检视Stepp

17、er的精度*注AMSH (Microscope)高倍显微镜,主要在检视曝光后、蚀刻后及去光阻后表面的线路图案检查(简称Olympus)AMEL; AMOT (Film Thickness)膜厚量测仪(前者简称Sopra, 后者简称Nano)AMVI (Visual Inspection)目视检查机,Array段制程的最后出货前检查CJ指高压水洗MS指超音波水洗Conveyor传送Spin旋转(如Spin Dryer:高速旋干器)Chamber反应室(如CVD, Sputter或干蚀刻)Load Lock简称LL闭锁,为大气进入真空或真空进入大气的媒介Heat加热Cool冷却Probe(测试机的

18、)探针Process制程Spec制程的品质标准Pin-Hole针点小凹陷PEP (photo engraving process)完成一次黄光制程叫做一个PEPMI第一次沉积的(阐极)金属膜如MoWMII第二次沉积的(源极和汲极)金属膜如MoAlMoa-Si (amorphous silicon)非结晶硅,TFT沉积层之一n+ (或n+a-Si)掺杂磷的非结晶硅,TFT沉积层之一Si-ON (应写为Si-Ox-Ny 因O,N的比例不一定)氮氧化硅,TFT沉积层之一Si-Nx (x为Si与N的比例)氮化硅,TFT沉积层之一Cleaning清洗(Cleaner的动作称为Cleaning)Brush

19、清洗机所使用之软刷DI; DI water; Deionized Water 去离子水UPW超纯水Vent破真空,真空环境下的玻璃送至LoadLock闭锁时,通入氮气平衡压力,以防止剧烈的气压变化造成破片Purge用CF或NF系列的气体通入CVD清除器壁累积的硅Rinse水洗Veri-Code光学办认码*注Vacuum真空Deposition沉积Wet etching湿蚀刻Dry etching干蚀刻Plasma电浆RIE (Reactive Ion Etching)反应式离子蚀刻机*注ICP (Inductive Coupled Plasma)电感偶式电浆蚀刻机*注PE (Plasma Et

20、ch)电浆蚀刻机*注Uniformity均匀性(类似(大-小)/平均值的概念)Etching Rate蚀刻速率(=蚀刻厚度/时间)Anneal回火Laser repair雷射修补Inspection检视Pre-bake预烤Coating上光阻Exposure曝光Develop显影Alignment对准CD (critical dimension)关键尺寸(线路关键处的线宽或间距)Overlay重叠Cure烘烤Bake烘烤6.Cell段制程专有名词介绍英 文 专 有 名 词中 文 说 明Material材料PI (polyimide)聚亚醯胺CF (Color Filter)彩色滤光片Deter

21、gent洗剂- Butyrolactone-丁内酯, 简称液, 用于清除APR版上的PIRubbing cloth配向布, 为棉类材质, 用于rubbing机台, 使基板产生配向, 使用前须先挑除杂质, 称为挑布Seal框胶, 功能在于围住液晶不外漏及避免水气进入, 使用前须先调配, 称为调胶Spacer 或 MP(Micro Pearl)间隙球, 功能在于维持CF与TFT两块玻璃间之间隙距离PS (Photo Spacer)功能与普通的Spacer相同, 一般用于大尺寸产品, 且可得到较好的cell gapTransfer 或 Conductive Paste 或 Ag paste银胶或称导

22、电胶UV sealantUV 胶, 用于两块玻璃基板组合时假固定用Polyfron均压纸, 基板压合时使用, 用于分隔基板, 可使压力均匀分布以及减少杂质所造成的损害LC (Liquid Crystal)液晶Polarizer偏光膜Equipment设备CDA (Compressed Dry Air)压缩高压干燥空气DIW, DI water去离子水, 纯水Control box电源控制箱Valve阀门, 控制阀Breaker电源开关, 继电器Chamber槽Clean booth洁净工作台Process制程FEOL (Front End of Line)cell 前段BEOL (Back E

23、nd of Line)cell 后段Scribe (1st scribe, 2nd scribe)切割 (有一次切割及二次切割)Break (1st break, 2nd break)裂片 (有一次裂片及二次裂片)Grind研磨PI Print , PI coaterPI 印刷PI Pre-bakePI 预烤PI Post-bakePI 后烤Rubbing配向Seal Pattern, Seal dispense框胶涂布Spacer SprayerSpacer 散布Jig PressJig 压合Alignment对位, 对准Cure键结硬化Seal Pre-bakeSeal 预烤Vacuum Anneal真空回火Injection注射 (LC-Injection:注入液晶)End Seal封口胶Polarizer Lamination偏光片贴合7.Module(模块)段制程专有名词英 文 专 有 名 词中 文 说 明Cellcell 完成后的在制品(货)Backlight背光板Bezel外框Driver IC驱动集成电路Soldering焊接Assembly组装Aging老化Packing包装Chip芯片Tape胶带Screw螺丝FPC (Flexible Printed Cable)可挠性印刷线路PCB (Printed Circuit Boa

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论