全国大学生电子设计竞赛-电源设计培训_第1页
全国大学生电子设计竞赛-电源设计培训_第2页
全国大学生电子设计竞赛-电源设计培训_第3页
全国大学生电子设计竞赛-电源设计培训_第4页
全国大学生电子设计竞赛-电源设计培训_第5页
已阅读5页,还剩137页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、2013年5月2013年电子设计竞赛年电子设计竞赛-电源设计培训电源设计培训o全国大学生电子设计竞赛特点o稳压电源设计与教学之本o直流稳压电源设计基础o集成直流稳压电源设计o开关直流稳压电源设计o稳压电源参数指标测试方法o历年电源类题目分析o电源类题目训练建议目录竞赛关键是用四天三夜时间半封闭完成一件作品!挑战杯:开放制作、一年时间嵌入式:开放制作、4个月时间机器人:开放制作、超过3个月时间其他:开放制作、超过3个月时间专家命题封闭制作教学内容1、全国大学生电子设计竞赛特点电源类信号源类通信类放大器类数据处理类自动控制类仪器仪表类 适应电类各专业学生1、全国大学生电子设计竞赛特点题目特色本次培

2、训主旨电子科学信息通信电气传动检测仪表自动化机电一体2、稳压电源设计与教学之本学生对功率概念相对淡薄对电源理解不深,生活中用电器的供电常用“端电压”描述如说到干电池,常常用几伏、几号表达电池容量,较少使用安培小时概念谈到工作实验室供电,常说220、380、很少知道配电容量,虽然有“限电”概念,但大多局限在学生宿舍内用电。电工原理电子技术电力电子自动控制电路分析电磁场模电数电通信电路高频电路微机原理电机拖动题目主线要依托到本科生开设的电类主要课程中的一个或几个具体知识点上。2、稳压电源设计与教学之本可编程逻辑器件o电源分类电源分类o线性稳压电源线性稳压电源o开关稳压电源开关稳压电源o充电泵充电泵

3、o转换器类型选择转换器类型选择o稳压电源性能指标稳压电源性能指标3、直流稳压电源设计基础o电源是提供电能的装置电源是提供电能的装置(1)电源分类参数调整(谐振)型自耦(变比)调整型 开关型交流稳压电源 线性稳压电源开关稳压电源交流稳压电源 直流稳压电源 重点重点分类(2)线性稳压电源应应用用 要求极低纹波和噪声的射频或高精度模拟要求极低纹波和噪声的射频或高精度模拟(测量非常小的电压)电路(测量非常小的电压)电路 VIN 和和 VOUT 的压差极小的应用的压差极小的应用 需要一个精确调节电压的应用需要一个精确调节电压的应用 要求针对负载的快速变化实现快速瞬态响应要求针对负载的快速变化实现快速瞬态

4、响应的的 FPGA 或多内核处理器或多内核处理器缺点缺点 在在 VINVOUT 的情况下效率偏低,因而需要的情况下效率偏低,因而需要使用一个较大的供电电源使用一个较大的供电电源 利用稳压器产生功率利用稳压器产生功率 (VIN VOUT) * IOUT 通过稳压器耗散,通常需要一个散热通过稳压器耗散,通常需要一个散热器器 VOUT 将始终低于将始终低于 VIN优优点点 低输出纹波和噪声低输出纹波和噪声 面对大负载变化,可在面对大负载变化,可在 VOUT 上实现快速瞬上实现快速瞬态响应态响应 低成本(至少由于低功耗的原因)低成本(至少由于低功耗的原因) 极少的外部组件使得线性稳压器易于设计极少的外

5、部组件使得线性稳压器易于设计 由于线性稳压器不会将电流接入电感器,因由于线性稳压器不会将电流接入电感器,因此无需担心此无需担心 EMI 问题问题 易于实现短路保护易于实现短路保护Vref+-误误差放大器差放大器栅极驱动传输传输元件元件+-负载负载5V3.3V(3)开关稳压电源应应用用 要求高效率(输入功率与输出功率之差极小)要求高效率(输入功率与输出功率之差极小) 的应用的应用 具有极高环境温度的应用,如:工业和汽车具有极高环境温度的应用,如:工业和汽车 VIN 远远高于远远高于 VOUT 的应用的应用 电源可用空间受限(小面积)的应用电源可用空间受限(小面积)的应用 要求高输出功率的应用要求

6、高输出功率的应用缺点缺点 需要将电流接入电感器及从电感器接出,因需要将电流接入电感器及从电感器接出,因此会:此会: 产生电磁干扰 (EMI) 导致输出对负载瞬变的响应速度减慢 产生较高的输出纹波和噪声 更多的外部组件和设计变量使开关电源难于更多的外部组件和设计变量使开关电源难于设计设计优优点点 由于稳压是通过将能量转入电感或从电感器转出来由于稳压是通过将能量转入电感或从电感器转出来完成的(而不是通过稳压器来消耗功率),因此:完成的(而不是通过稳压器来消耗功率),因此: 可获得较高的效率 通过稳压器耗散的功率较低,故只需一个较小的散热器即可。 开关电源拓扑允许 VOUT 高于、低于或等于 VIN

7、 高功率/cm2 可允许较宽的输入电压范围 可提供隔离(利用变压器)可提供隔离(利用变压器) 可提供多个输出(利用变压器)可提供多个输出(利用变压器)反激式反激式 (降降压压/升升压压)VINVOUT(4)充电泵应应用用 需要一个低输出电流的应用需要一个低输出电流的应用 具有中等的输入具有中等的输入-输出电压差的应用输出电压差的应用 存在空间限制的应用存在空间限制的应用缺点缺点 将电容器接入电路及从电路接出会将电容器接入电路及从电路接出会产生电磁干扰产生电磁干扰 (EMI) 由于充电泵的输出取决于电容器的由于充电泵的输出取决于电容器的充电和放电,因此其电流供应能力充电和放电,因此其电流供应能力

8、受到限制受到限制优优点点 中等效率中等效率 由于充电泵将电容器两端的电压接由于充电泵将电容器两端的电压接入输出端及从输出端接出,因此:入输出端及从输出端接出,因此: 无需电感器 VOUT 可高于、低于和等于 VIN 较少的组件使充电泵更易于设计较少的组件使充电泵更易于设计Q1Q3Q2Q4CFLOAD+VINCoVo+-IoVCF+o转换器类型的选择取决于电源设计的优先考虑因素。转换器类型的选择取决于电源设计的优先考虑因素。(5)转换器类型选择 类别指标线性稳压器开关稳压器电感性充电泵效率20 至 60%90 至 95%75 至 90%纹波非常低低中等EMI 噪声非常低中等低PCB 面积非常小最

9、大中等成本最低最高中等o电压调整率(线性调整率)o电流调整率(负载调整率)o纹波抑制比o纹波电流或电压VP-Po输出噪声电压o输出阻抗o最大输入电压o输出电压偏差o最大输出电流LS(6)稳压电源性能指标noU000IUZgfdpUUSlg20%10010UUSUmax1U0Umax0Io直流稳压电源是电子设备的能源电路,关系到整个电路直流稳压电源是电子设备的能源电路,关系到整个电路设计的稳定性和可靠性,是电路设计中非常关键的一个设计的稳定性和可靠性,是电路设计中非常关键的一个环节。环节。o本节重点介绍三端固定式(正、负压)集成稳压器、三本节重点介绍三端固定式(正、负压)集成稳压器、三端可调式(

10、正、负压)集成稳压器以及端可调式(正、负压)集成稳压器以及DC-DC电路等电路等组成的典型稳压电路设计。组成的典型稳压电路设计。4、集成直流稳压电源设计o电源变压器电源变压器: 将交流电网电压将交流电网电压u1变为合适的交流电压变为合适的交流电压u2。o整流电路整流电路: 将交流电压将交流电压u2变为脉动的直流电压变为脉动的直流电压u3。o滤波电路滤波电路: 将脉动直流电压将脉动直流电压u3转变为平滑的直流电压转变为平滑的直流电压u4。o稳压电路稳压电路: 清除电网波动及负载变化的影响清除电网波动及负载变化的影响,保持输出电压保持输出电压uo的稳定。的稳定。整整 流流 电电 路路滤滤 波波 电

11、电 路路稳稳 压压 电电 路路u1u2u3u4uoo国内外各厂家生产的三端(电压输入端、电压输出端、国内外各厂家生产的三端(电压输入端、电压输出端、公共接地端)固定式正压稳压器均命名为公共接地端)固定式正压稳压器均命名为78系列,该系系列,该系列稳压器有过流、过热和调整管安全工作区保护,以防列稳压器有过流、过热和调整管安全工作区保护,以防过载而损坏。过载而损坏。o其中其中78后面的数字代表稳压器输出的正电压数值(一般后面的数字代表稳压器输出的正电压数值(一般有有05、06、08、09、10、12、15、18、20、24伏共伏共9种输种输出电压出电压)。)。78系列稳压器最大输出电流分系列稳压器

12、最大输出电流分100mA、500mA、1.5A三种,以插入三种,以插入78和电压数字之间的字母来和电压数字之间的字母来表示。插入表示。插入L表示表示100mA、M表示表示500mA,如不插入字,如不插入字母则表示母则表示1.5A。此外,。此外,78(L、M)的后面往往还的后面往往还附有表示输出电压容差和封装外壳类型的字母。常见的附有表示输出电压容差和封装外壳类型的字母。常见的封装形式有封装形式有TO-3金属和金属和TO-220的塑料封装。的塑料封装。o正输入电压正输入电压UI加到加到78XX的输入端,公共端接地,其输的输入端,公共端接地,其输出端便能输出芯片标称正电压出端便能输出芯片标称正电压

13、UO。实际应用芯片输入和。实际应用芯片输入和输出端与地之间除分别接大容量滤波电容外,通常还需输出端与地之间除分别接大容量滤波电容外,通常还需在芯片引出脚根部接小容量(在芯片引出脚根部接小容量(0.1F10F)电容)电容Ci、Co到地。到地。Ci用于抑制芯片自激振荡,用于抑制芯片自激振荡,Co用于压窄芯片用于压窄芯片的高频带宽,减小高频噪声。的高频带宽,减小高频噪声。Ci和和Co的具体取值应随芯的具体取值应随芯片输出电压高低及应用电路的方式不同而异。片输出电压高低及应用电路的方式不同而异。123456ABCD654321DCBAT itleN um berR evisionSizeBD ate:

14、12-Feb-2004Sheet of File:H :电 源 设 计 电 源 原 理 图 .D dbD raw n B y:132VVG N DINO U TM C 7805C i0.33FC o0.1FUU+-+-IOo三端固定式负压稳压器命名为三端固定式负压稳压器命名为79系列,系列,79前、后的字前、后的字母、数字意义与母、数字意义与78系列完全相同。系列完全相同。o图中芯片输入端加负输入电压图中芯片输入端加负输入电压UI,公共端接地,输出端,公共端接地,输出端得到标称的负输出电压得到标称的负输出电压UO。电容。电容Ci用来抑制输入电压用来抑制输入电压UI中的纹波和防止芯片自激振荡,中

15、的纹波和防止芯片自激振荡,Co用于抑制输出噪用于抑制输出噪声。声。D为大电流保护二极管,防止在输入端偶然短路到为大电流保护二极管,防止在输入端偶然短路到地时,输出端大电容上储存的电压反极性加到输出、输地时,输出端大电容上储存的电压反极性加到输出、输入端之间而损坏芯片。入端之间而损坏芯片。123456ABCD654321DCBAT itleN um berR evisionSizeBD ate:12-Feb-2004Sheet of File:H :电 源 设 计 电 源 原 理 图 .D dbD raw n B y:C i0.33FC o0.1FUU+-231VVG N DINO U TM C

16、 7905+-DOI三端可调式集成稳压器o三端可调式稳压器种类繁多,如正压输出的三端可调式稳压器种类繁多,如正压输出的317(217/117)系列、)系列、123系列、系列、138系列、系列、140系系列、列、150系列;负压输出的系列;负压输出的337系列等。系列等。oLM317系列稳压器能在输出电压为系列稳压器能在输出电压为1.25V37V的的范围内连续可调,外接元件只需一个固定电阻和一个电范围内连续可调,外接元件只需一个固定电阻和一个电位器。其芯片内也有过流、过热和安全工作区保护。最位器。其芯片内也有过流、过热和安全工作区保护。最大输出电流为大输出电流为1.5A。o典型电路如图所示。其中

17、电阻典型电路如图所示。其中电阻R1与电位器与电位器RP组成电压组成电压输出调节电位器,输出电压输出调节电位器,输出电压UO的表达式为:的表达式为: UO=1.25(1+Rp/R1)123456ABCD654321DCBATitleNumberRevisionSizeBDate:12-Feb-2004Sheet of File:H:电 源 设 计 电 源 原 理 图 .DdbDrawn By:Vin2ADJ1+Vout3LM317Vin3ADJ1-Vout2LM3370.11R1240RP0.11R1240RP-+UUUU( a) 可 调 正 压 输 出( b) 可 调 负 压 输 出+0.01

18、0.01IOOIo正、负输出稳压电源能同时输出两组数值相同、极性相正、负输出稳压电源能同时输出两组数值相同、极性相反的恒定电压。反的恒定电压。123456ABCD654321DCBAT itleN um berR evisionSizeBD ate:12-Feb-2004Sheet of File:H :电 源 设 计 电 源 原 理 图 .D dbD raw n B y:0.330.1UU+0.330.1U-V in1GND2+15V3M C 7815V in2GND1-15V3M C 7915G N D-UD 2D 1+20V-20V= -15V= +15VI1I2+-+O 2O 1123

19、456ABCD654321DCBATitleNumberRevisionSizeBDate:12-Feb-2004Sheet of File:H:电 源 设 计 电 源 原 理 图 .DdbDrawn By:Vin2ADJ1+Vout3LM317Vin3ADJ1-Vout2LM3370.11%12010101%120-+UU2K2K0.1+25V-25V1.2 20V-1.2 -20V1k/1WR0.1T1IN54024L1+1k/1W R0.1T2IN54024L1+22002200+220V/50Hzo1o2-+123456ABCD654321DCBATitleNumberRevision

20、SizeBDate:12-Feb-2004Sheet of File:H:电 源 设 计 电 源 原 理 图 .DdbDrawn By:Vin2ADJ1+Vout3LM117ABCCC4051R11.5kR23.4kR34.9kR46.4kR57.9kR610.9kR713.9kR620UUOI+-图为图为LM117和模拟开关和模拟开关CC4051构成构成的程控电源。的程控电源。三位并行数字码取不同三位并行数字码取不同值时,电源可输出值时,电源可输出2V、5V、12V、15V、18V、24V、30V等等8种电压值。种电压值。高压输入的解决方案 高压输出电压的解决方案 o在一般应用的情况下主要考

21、虑:纹波抑制能力的改善与在一般应用的情况下主要考虑:纹波抑制能力的改善与旁路电容器、输出电压精度、输入电压、布线方式造成旁路电容器、输出电压精度、输入电压、布线方式造成的负载效应与减小措施、反向电压保护、工作温度、散的负载效应与减小措施、反向电压保护、工作温度、散热与绝缘、安装方式。热与绝缘、安装方式。o(1)纹波抑制能力的改善与旁路电容器)纹波抑制能力的改善与旁路电容器小电流和需要抑制瞬变电压的集成稳压电路 o(2)输入电压的选择)输入电压的选择 集成稳压器的输入电压范围很宽,从输出电压加上最小集成稳压器的输入电压范围很宽,从输出电压加上最小输入输出压差到输入输出压差到35V(7824、79

22、24以及以及LM317/LM337的的40V)最高输入电压,集成稳压)最高输入电压,集成稳压器都能正常工作。器都能正常工作。 型号7805 7806 7809 7812 7815 7905 7906 7909 7912 7915输入电压/V891215188912 15 18固定输出电压的集成稳压器的输入电压固定输出电压的集成稳压器的输入电压o(3)布线方式造成的负载效应与减小措施)布线方式造成的负载效应与减小措施 铜箔应尽可能短,同时尽可能的宽,覆焊锡以增加导线铜箔应尽可能短,同时尽可能的宽,覆焊锡以增加导线截面积,引出线应尽可能的短,并且引线的截面积不应截面积,引出线应尽可能的短,并且引线

23、的截面积不应低于低于0.35mm2,长距离的则不应低于,长距离的则不应低于0.5mm2,GND或或调整端应接在电路的输出端,甚至是负载端。调整端应接在电路的输出端,甚至是负载端。 o(4)反向电压保护)反向电压保护 o集成集成DC/DC变换器变换器oDC/DC变换器拓扑结构及演化变换器拓扑结构及演化o非隔离式非隔离式DC/DC变换器变换器o隔离式隔离式DC/DC变换器变换器5、开关直流稳压电源设计oMC33063A/MC34063A/MC35063A是单片是单片DC/DC变换器控制电路,只需配用少量的外部元件,就变换器控制电路,只需配用少量的外部元件,就可以组成升压、降压、电压反转可以组成升压

24、、降压、电压反转DC/DC变换器。变换器。o该系列变换器的电压输入范围为该系列变换器的电压输入范围为340V,输出电压可以,输出电压可以调整,输出开关电流可达调整,输出开关电流可达1.5A;工作频率可达;工作频率可达100kHz,内部参考电压精度为内部参考电压精度为2。o极性反转效率最高极性反转效率最高65,升压效率最高,升压效率最高90,降压效率,降压效率最高最高80,变换效率和工作频率、滤波电容等成正比。,变换效率和工作频率、滤波电容等成正比。o输出功率达不到要求的时候,比如输出功率达不到要求的时候,比如1A时,可以通过外时,可以通过外接扩功率管的方法扩流。接扩功率管的方法扩流。MC340

25、63构成的充电器 MC34063构成的开关型恒流源 o外围元件标称含义和它们取值的计算公式:外围元件标称含义和它们取值的计算公式:oVout1.25V(R1R2)oCt:决定内部工作频率。:决定内部工作频率。Ct=0.000 004*TonoIpk=2*Iomax*T/toff oRsc:决定输出电流,:决定输出电流,Rsc0.33Ipk oLmin(电感电感):Lmin(ViminVces)*Ton/ Ipk oCo:决定输出电压波纹系数,:决定输出电压波纹系数,CoIo*ton/Vp-po固定值参数:固定值参数:Vces=1.0V;ton/toff=(Vo+VfVimin)/(ViminV

26、ces););Vimin:输入电压不稳定时的输入电压不稳定时的最小值;最小值;Vf=1.2V快速开关二极管正向压降快速开关二极管正向压降 参数名称参数名称符号符号单位单位MC34063(美国(美国Motorola)34063(国产)(国产)IRM03A(日本夏普)(日本夏普)输入电压输入电压VinV2.540V2.540V2.540V输出电压输出电压VoutV1.2540V1.2540V1.2540V最大输出电流最大输出电流IomaxA1.5A1.5A1.8A最高工作频率最高工作频率fkHz0.1100kHZ0.1100kHZ0.1100kHZ功率功率PW1.25W1.25W0.9W工作温度工

27、作温度Ta度度070度度070度度070度度o在实际应用中的注意事项:在实际应用中的注意事项: 1 1、快速开关二极管可以选用、快速开关二极管可以选用IN4148IN4148,在要求高效,在要求高效率的场合必须使用率的场合必须使用 IN5819(IN5819(贴片为贴片为SS14)SS14); 2 2、3406334063能承受的电压,即输入输出电压绝对值之能承受的电压,即输入输出电压绝对值之和不能超过和不能超过40V40V,否则不能安全稳定的工作;,否则不能安全稳定的工作; 3 3、输出功率达不到要求的时候,比如、输出功率达不到要求的时候,比如1A1A时,可时,可以通过外接扩功率管的方法扩大

28、输出电流,三极管、以通过外接扩功率管的方法扩大输出电流,三极管、双极型或双极型或MOSMOS管均可,一般的芯片管均可,一般的芯片PDFPDF资料上都会有资料上都会有典型扩流电路介绍。典型扩流电路介绍。oLMX575系列分系列分LM1575、LM2575及及LM2575HV三种降三种降压芯片,其中压芯片,其中LM1575为军品级,为军品级,LM2575为标准电压,为标准电压,LM2575HV为高电压输入产品。每一种产品系列均提供为高电压输入产品。每一种产品系列均提供3.3V、5V、12V、15V及可调(及可调(ADJ)等多个电压等级。)等多个电压等级。o最大输出电流:最大输出电流:1A;最大输入

29、电压:;最大输入电压: LM1575/LM2575为为45V; LM2575HV为为63V;转换效率:转换效率:75%88%oLM2576为为3A的降压芯片,的降压芯片,LM2577为为3A的升压芯片。的升压芯片。直流电源直流电源DC-DC主电路主电路负载负载控制电路控制电路duoudouu douu douu douu 单向限电路双向限电路四象限电路3.均为一个方向和 其中之一改变方向 均改变方向00,IU0U0I00,IU4.单相电路:只有一个电路m相电路:有m个基本电路,采用时分复用的方法理想直流变换应具备的性能电源系统设计指标电压纹波:这是峰-峰值电压,它的频率和大小应该能被负载所接受

30、。电源系统设计指标电源系统设计指标三种基本的非隔离开关电源oUC384XUC384X系列电流型系列电流型PWMPWM控制器的功能基本相同,仅启动控制器的功能基本相同,仅启动和关闭电压不同,和关闭电压不同,38423842、38443844启动和关闭电压为启动和关闭电压为16V16V和和10V10V,UC 3843UC 3843、UC 3845UC 3845为为8.5V8.5V和和7.6V7.6V。 1997年试题中DC/DC设计实例 题目无电气隔离要求,从变换器的效率考虑,选用非隔离的升压型变换器结构。 如果开关管选用MOSFET开关频率可以选择50100kHz,在电子设计竞赛中最好选择50k

31、Hz左右。对应的定时电容可以选择2.2nF,定时电阻可以选择10k。为了尽可能的降低输出电压纹波和尖峰电压,变换器工作方式为电流断续型,即电感电流是断续的。输出电流需要满足100mA,对应的输出功率为10W。 AdVPIOOm04. 40495. 01001022电感电流峰值: HItVLmonin27.2204. 4101096min电感的电感量: 如果开关管的最大导通占空比为0.5,则对应的电感释放储能得相应的“占空比”d为: 0495. 091. 05 . 009. 0d ADIDIdIDIImmmmmmmLrms645. 135 . 004. 43333222电感电流有效值: ADID

32、IdIDIImmmmmmmLrms645. 135 . 004. 43333222电感电流有效值: 可以选用导线电流密度4A/mm2,对应的导线截面积约为0.4mm2,线径为0.7mm,也可以采用7股线径为0.27mm的漆包线绞成一股,其导线截面积与单股0.7mm截面积相同,也样绕制起来比较方便。最容易买到并且最便宜的磁芯就是芯柱矩形的EI、EE磁芯。在这个设计实例中可以采用EI22或EI25规格磁芯。由于小型磁芯的骨架相对脆弱,最好是选择7股0.27mm漆包线绞成一股绕制线圈为好。电感绕组的匝数可以按变压器绕组的匝数选择,这时需要磁芯的工作状态下最大磁感应强度和磁芯有效截面积的数据。这个工作

33、状态下的最大磁感应强度在50kHz频率下可以250mT,EI22磁芯的有效截面积为0.42Cm2,EI25磁芯的有效截面积为0.41Cm2。 匝78. 841. 02501091010minemoninABtUNmmmmBNIlmmg2 . 0183. 0250904. 444取整数9匝 可以采用中心柱和编柱同时留气隙的方式,即在E型磁芯与横条之间垫上一个厚度为0.1mm质地比较硬的绝缘材料(如0.1mm的青壳纸)即可。 电路的输入旁路电容器、电感、开关管、输出二极管、输出滤波电容器应尽可能靠近,在此基础上控制电路要尽可能靠近开关管。 三种基本的隔离开关电源VinVoSLmDVinVoLSD1

34、D2VinVoLS1D1D2S2正激型变换器反激型变换器桥式变换器VgsIDVdsD1-DTIpIoVinVin+nV o根据变压器的伏秒平衡:ImIoVinVoGDSLmDn:1TDnVoDTVin)1 (*)1 (*DnDVinVoCoVLVin-nVonVoVinVds)1 (DVinVdsID-p 电流连续模式VgsIDVdsD1-DTIoVinVin+nV o根据变压器的伏秒平衡:ImIoVinVoGDSLmDn:1)1 (*DnDVinVoCoVLVin-nVoID-p-nVoVoVo TRVoLmIp2221根据能量守恒:LmVinDTIpVinDLmRTVo2电流断续模式Vgs

35、IDVdsD1-DTIpIoVinVin+nV oImVrVLVin-nVo221221LkIpCdsVr nIIppDID-p21DopDIDIIVr=?IoVinVoGDSLmDn:1LkCoLmTDVoID/)1 ( IpCdsLkVr*IoVinVoGDSLmDn:1LkCoVcVcRPloss=(Vc-Vin)2/RGDSLkVcRPloss=(Vc)2/RVgsIDVdsD1-DTIpIoVinVin+nV oImVrICoID-pVo=?IoVinVoGDSLmDn:1LkCo)1/(DIIIopDCo-IoVoDTIVCOOCDTIVOO/根据Co在DT时间的基本方程:VinV

36、o1GLmNpLkVo2Ns2Ns1)1 (*11DNpDVinNsVo)1 (*22DNpDVinNsVo反馈控制VinVo1GLmNpLkVo2Ns2Ns1反馈控制VinVoLS1D1D2S2半桥变换器VinVoLS1D1D2S2全桥变换器VinVoLS1D1D2S2推挽变换器VinVoS1D2S2半桥变换器(倍流整流)VinVoLoS1D1D2S2n:1+1LmLkIpIoCBVTS1ILVds1DIpVTIoVin/2n-Vin/2nImVinIL t0t1t2t3 t4 t5S2Dt6=t0T/2T/2Vct0, t1变换器正半周工作,Ip, Im增加;Vc减少。LmDTVinIm/

37、2) 12/()/(CDTnIoVcC1C1VinVoLoS1D1D2S2n:1+1LmLkIpIoBVTS1ILVds1DIpVTIoVin/2n-Vin/2nImVinIL t0t1t2t3 t4 t5S2Dt6=t0T/2T/2VcC1CC1Vin/2t1, t2S1关断,变压器副边续流,原边漏感能量被S2体二极管钳位。VinVoLoS1D1D2S2n:1+1LmLkIpIoBVTS1ILVds1DIpVTIoVin/2n-Vin/2nImVinIL t0t1t2t3 t4 t5S2Dt6=t0T/2T/2VcC1CC1Vin/2t2, t3S1,S2全部关断,变压器副边续流,Lm保持不

38、变,D1,D2电流之差为Lm。VinVoLoS1D1D2S2n:1+1LmLkIpIoABS1ILVds1DIpVTIoVin/2n-Vin/2nImVinIL t0t1t2t3 t4 t5S2Dt6=t0T/2T/2Vin/2VTVct3, t4变换器负半周工作,Ip, Im减少;Vc增加。LmDTVinIm/2) 12/()/(CDTnIoVc VinVoLoS1D1D2S2n:1+1LmLkIpIoABS1ILVds1DIpVTIoVin/2n-Vin/2nImVinIL t0t1t2t3 t4 t5S2Dt6=t0T/2T/2Vin/2VTVct4, t5S2关断,变压器副边续流,原边

39、漏感能量被S1体二极管钳位。VinVoLoS1D1D2S2n:1+1LmLkIpIoBVTS1ILVds1DIpVTIoVin/2n-Vin/2nImVinIL t0t1t2t3 t4 t5S2Dt6=t0T/2T/2VcC1CC1Vin/2t5, t6S1,S2全部关断,变压器副边续流,Lm保持不变,D1,D2电流之差为Lm。t0, t1LoDTVonVinIL/)2(t1, t3LoTDVoIL/)2/1 (DnVinVo 5 . 0DVinVoLoS1D1D2S2n:1+1LmLkIpIoBVTC1CC1DnVinVo 5 . 0DLoTDVoIL/)2/1 () 12/()/(CDTn

40、IoVc 6、稳压电源参数指标测试方法6、稳压电源参数指标测试方法6、稳压电源参数指标测试方法6、稳压电源参数指标测试方法6、稳压电源参数指标测试方法 4 . 3,2211nnUUTHD7、历年电源类题目分析o2011年A题解读与分析o2009年A题解读与分析o2007年E题解读与分析o2005年D题解读与分析2011年A题 设计并制作一个由两个额定输出功率均为16W的8V DC/DC模块构成的并联供电系统(见图1)。DC/DC模块1DC/DC模块2负载电阻UO=8.0VIINI1I2UIN=24VIO+-+-图1 两个DC/DC模块并联供电系统主电路示意图 2011年A题解读与分析2011年

41、A题体现的教学内容 题目涉及了典型的电源并联技术、DC/DC变换、用电效率、电参数检测与控制、过流保护以及相应的分流技术等知识点。 涉及电路分析、模拟电子技术、电力电子技术、电气测量和自动控制等课程2011年A题-教学体现实验教学出现过:电工电子实验项目的一个设计性实验某高校实验教程2011年A题-教学体现弄清电源并联后的现象实验教学出现过:电工电子实验项目的一个设计性实验2011年A题-教学体现实验教学出现过:电工电子实验项目的一个设计性实验I1 1, , I2 22011年A题-教学体现2011年A题突出均流技术主要知识点是恒压源并联供电,强调负载变化时的均流技术。 UoE1E2I1I2r

42、1r2RL稳压电路稳压电路主电路示意图 2011年A题-理论分析主电路等效示意图(此时忽略稳压电路,将端电压等效为恒值) Uo=C(8V)E1E2I1I2r1r2RLLRIIrIE)(21111LRIIrIE)(21222LoRUIII21LoRIIU)(21(3-2)根据基尔霍夫定律(1)(2)(3-1)2011年A题突出均流技术2011年A题-理论分析Uo=C(8V)E1E2I1I2r1r2RLLRIIrIE)(21111LRIIrIE)(21222LoRUIII21LoRIIU)(21(3-2)根据基尔霍夫定律(1)(2)(3-1)OUrIE111OUrIE222OLLOOOURrrRU

43、UrIIUEE)2(2)(2212121EE 设r1 = r2 = r 做(4)+(5)且代入(3-1)得:即: 为RL的函数。 (4)(5)(6)将(3-2)代入方程(1)、(2)得: 2011年A题-理论分析Uo=C(8V)E1E2I1I2r1r2RL(7)nII21:21nII LoRUInIIII2221LoRnUI)1 (2OOLOOUURrnnUrnIUrIE)1 (2111OOLOUURrnUrIE)1 (1222若设 则 将(7)代入(3-1): 将(7)、(8)代入(4)、(5) (9) (10) (8)2011年A题-理论分析Uo=C(8V)E1E2I1I2r1r2RL(1

44、1)若设 n=1OLOOLURrUURrEE)21 (212010OOLnUURrnnE)1 (1OOLnUURrnE)1 (12OLOOLOOLnURrnnUURrUURrnnEEE21121)1 (1011OLOLOLURrnnURrnnnURrnn) 1(21)1 (212211OLOOLOOLnURrnUURrUURrnEEE211121)1 (120221) 1(21) 1(21)1 (212EURrnnURrnnURrnnOLOLOL若设 n1(12)2011年A题-理论分析竞赛中的测试参数:设:竞赛中的测试参数:r=4 (额定功率设计)Uo=8V(稳压源要求) I1电流1I2电流

45、2I总电流E1n电动势1E2n电动势2均流时E1=E2n=10.50.51.010V10V10 Vn=21.00.51.512V10V11 Vn=4/32.01.53.516V14V15 V2011年A题-理论分析如何根据电流比且保证端电压8V条件下,调整电动势E1、E2的问题DC/DC模块1DC/DC模块2负载电阻UO=8.0VIINI1I2UIN=24VIO+-+-根据题意,可设计两个降压型DC/DC变换器模块。运用课堂讲授的PWM技术,制作模块运用电压、电流取样技术制作检测环节运用微处理器技术,设计控制器,根据电压、电流检测结果,控制PWM,达到闭环调整电源电动势的目的。2011年A题-

46、实现方案基础性相关性实践性先进性体现电工电子的教学内容体现课程之间联系与贯通体现实验教学的基本知识倡导将当代先进的电工电子技术融入教学2011年A题-命题主导命题主导在于运用基础教学内容,尝试新型的均流方式基本部分:基础教学内容发挥部分:强调专业性研制报告:强调理论指导调试测试:合理测试方法与仪器题目形式2011年A题-命题主导命题越来越难,压力越来越大短时间社会力量无法复制理论知识工程可用优秀学生能做出来制作设备和仪器能弄得到预先设想2011年A题-命题主导电源并联技术理论问题 题目希望参赛学生能够结合基于PWM技术的开关电源特点,充分考虑用能效率、稳压供电、检测负载变化、合理配置子电源模块

47、工作以及主动控制等系统性能,综合设计并制作出既满足并联组合供电要求,又相对高效、廉价、安全的作品。 明确是稳压源而非恒流源2011年A题-命题主导可主动设置分流比的分流技术 根据具体工程需要,如太阳能展开板的迎光状况、模块老化不均现象、共因失效等因素,根据负载大小,可主动设置分流比、分段控制电流。2011年A题-命题主导突出强电的“功率”概念,与弱电系统在器件、调试等区别 题目希望参赛学生通过调试作品,能够掌握供电系统的基本电路制作工艺、联调技能以及测试技术,观察负载变化给供电系统带来的输出电压波动等工程现象。 题目希望参赛学生注意大功率电源与弱电信号在布线、接线、仪器使用与测试等方面的不同。

48、 题目希望选择本题的非电力电子类专业参赛学生能够运用电工电子基础理论,联系实际,理解开关电源的机理;正确筛选元器件,设计系统。2011年A题-命题主导单DC/DC模块原理电路示意图综合运用知识的系统设计能力电压、电流取样和反阻及保护调理电路微处理器MCU最小系统电路PWM发生及驱动电路UoRLLCVDVTVIN电力电子检测技术继电保护微处理器技术自动调节技术放大与滤波2011年A题-命题主导A题作品完成情况A题作品完成情况各赛区推荐A题作品200个队比选后复测作品52个队其中功能、性能指标优良的约10个队产生了瑞萨杯西电新闻:“日前,2011年第十届全国大学生电子设计竞赛评审结果揭晓,由我校谢

49、楷任指导教师,邵明绪、孙永强、杨福荣3位同学组成的竞赛团队,戮力同心,在众多参赛队伍中脱颖而出,获得该项赛事最高奖项“瑞萨杯”,取得历史性突破。”A题作品完成情况命题中存在问题题目回避了纹波问题未说明测试导线及电流表内阻影响减小纹波是开关电源稳压特性的重要指标。负载电阻较小,引线会影响结果。123456ABCD654321DCBATitleNumberRevisionSizeBDate:5-Aug-2000 Sheet of File:D:licircuitlihong.ddbDrawn By:QDLCZVducaQDLCZVduccQDLCZVducbQDLCZVducdiCioioioio

50、Q导通Q关断Q关断时电感电流为零Buck电路图LiLiLiBuck变换器原理图及不同开关状态下的等效电路图 不同状态下的轮流给负载供电会导致输出电压的纹波与尖峰A题作品完成情况A题作品完成情况作品中存在主要问题暴露的问题:基本原理、基本计算等教学内容训练不够设计报告:缺少原理性论证与定量的推导计算大部分参赛队的报告对电动势变化、负载2欧姆时额定功率输出、内阻测试、均流计算、自动分配电流的原理阐述不足。A题作品完成情况作品中存在问题制作部分:按要求自动分配电流存在误解说明(3)除负载电阻为手动调整以及发挥部分(1)由手动设定电流比例外,其他功能的测试过程均不允许手动干预。未能理解:根据负载电流要分段自动控制两个模块的电流比例。A题作品完成情况测试中存在问题测试:小电阻负载下电流表内阻影响问题赛区:使用内阻较大(大于0.1欧)电流表时,将电流表内阻纳入负载避免争议。测评表:应具体说明避免其影响的测试方法。有条件的赛区使用电子负载。2009年A题解读与分析设计并制作一个光伏并网发电模拟装置。用直流稳压电源US和电阻RS模拟光伏电池,US=60V,RS=3036;uREF为模拟电网电压的正弦参考信号,其峰峰值为2V,频率fREF为4555Hz;T为工频隔离变压器,变比为n2:n1=2:1、n3:n1=1:

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论