触发器的电路结构与工作原理(课堂PPT)_第1页
触发器的电路结构与工作原理(课堂PPT)_第2页
触发器的电路结构与工作原理(课堂PPT)_第3页
触发器的电路结构与工作原理(课堂PPT)_第4页
触发器的电路结构与工作原理(课堂PPT)_第5页
已阅读5页,还剩86页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、.15.1 触发器的电路结构与工作原理触发器的电路结构与工作原理 5.2 触发器的功能触发器的功能 .21、熟练掌握不同结构触发器的工作原、熟练掌握不同结构触发器的工作原理及其触发方式。理及其触发方式。2、熟练掌握不同功能的触发器的逻辑、熟练掌握不同功能的触发器的逻辑功能。功能。3、正确理解触发器的脉冲工作特性。、正确理解触发器的脉冲工作特性。.3v从电路结构形式的角度从电路结构形式的角度,要求熟练掌握各触发器要求熟练掌握各触发器的动作特点,如果给出输入波形,要求能够正确的动作特点,如果给出输入波形,要求能够正确地画出输出波形。地画出输出波形。v从逻辑功能的角度,从逻辑功能的角度,要求熟练掌握

2、各触发器的逻要求熟练掌握各触发器的逻辑符号(含义)、特性表、特性方程及状态转换辑符号(含义)、特性表、特性方程及状态转换图。同样,如果给出输入波形,要求能够正确地图。同样,如果给出输入波形,要求能够正确地画出输出波形。画出输出波形。.4建立起两个概念:建立起两个概念: 现态现态和和次态次态的概念;的概念; 触发器的触发器的逻辑功能逻辑功能和和电路结构电路结构并并没有没有固定固定的对应关系。的对应关系。.5现态:触发器接收输入信号之现态:触发器接收输入信号之前前的状态,叫做现态,的状态,叫做现态,用用Q Qn n表示表示 。次态:触发器接收输入信号之次态:触发器接收输入信号之后后的状态,叫做次态

3、,的状态,叫做次态,用用Q Qn+1n+1 表示。表示。 触发器次态输出触发器次态输出Q Qn+1n+1与现态与现态Q Qn n和和输入信号输入信号之间的之间的逻辑关系,是贯穿本章始终的基本问题。如何获得、逻辑关系,是贯穿本章始终的基本问题。如何获得、描述和理解这种逻辑关系,是本章学习的中心任务。描述和理解这种逻辑关系,是本章学习的中心任务。.65.1 触发器的电路结构与工作原理触发器的电路结构与工作原理 5.2 集成触发器集成触发器5.3 触发器功能的转换触发器功能的转换5.4 触发器触发器“记忆记忆”功能的举功能的举例例本章讲授思路:本章讲授思路:.71、时序逻辑电路:数字电路中除组合逻辑

4、电、时序逻辑电路:数字电路中除组合逻辑电路外,还包括另一类具有记忆功能的电路路外,还包括另一类具有记忆功能的电路-时序逻辑电路时序逻辑电路。 时序逻辑电路任意时刻的输出状态时序逻辑电路任意时刻的输出状态不仅不仅与该当前的输入信号与该当前的输入信号有关,而且有关,而且与此前电路与此前电路的的状态有关。状态有关。 2、触发器触发器:触发器是构成时序逻辑电路的:触发器是构成时序逻辑电路的基本逻辑基本逻辑单元单元 。 能够存储能够存储一位一位二值信息的基本单元电路二值信息的基本单元电路.8基本概念基本概念触发器特点:触发器特点:1.1.具有两个能够具有两个能够自行保持自行保持的稳定状态,用来表示逻辑状

5、态的稳定状态,用来表示逻辑状态 0 0 和和 1 12.2.根据不同的输入信号,可将输出置成根据不同的输入信号,可将输出置成 0 0 或或 1 1 。3.3.输入信号消失后,能将获得的状态输入信号消失后,能将获得的状态保存保存下来。下来。 重点:重点:触发器外部触发器外部逻辑功能逻辑功能、触发方式触发方式。 我们在学习过程中,只需了解各种触发器的基本工作原理,我们在学习过程中,只需了解各种触发器的基本工作原理,但要但要重点掌握它们的逻辑功能重点掌握它们的逻辑功能,以便能正确使用它们。,以便能正确使用它们。 .9 3、触发器的分类、触发器的分类 按电路结构分:按电路结构分:基本基本RS触发器触发

6、器同步同步RS触发器触发器主从触发器主从触发器边沿触发器边沿触发器按逻辑特性分:按逻辑特性分:RS触发器触发器:置置0、置、置1、保持、不定、保持、不定JK触发器:触发器:置置0、置、置1、计数、保持、计数、保持D触发器:触发器:置置0、置、置1T触发器:触发器:计数、保持计数、保持时钟触发器时钟触发器 .105.1.1 基本基本 RS 触发器触发器5.1.2 同步同步RS触发器触发器5.1.3 主从触发器主从触发器5.1.4 边沿触发器边沿触发器.11 S & Q Q & R G1 G2 S Q Q R 反馈反馈输入端输入端输出端输出端由两个与非门组成由两个与非门组成逻辑符号

7、逻辑符号 电路结构与逻辑符号电路结构与逻辑符号 触发器由逻辑门加反馈电路构成,电路有触发器由逻辑门加反馈电路构成,电路有两个互补两个互补的的 输出端输出端Q和和 , ,其中其中Q的状态称为触发器的状态。的状态称为触发器的状态。Q.12 2、工作原理、工作原理 S & Q Q & R G1 G2 1) 无有效电平输入(无有效电平输入(S=R=1)时,触发器保持稳定状态不变)时,触发器保持稳定状态不变 1 11 1 S & Q Q & R G1 G2 若初态若初态Qn = 1若初态若初态 Qn = 01 10 01 10 01 10 01 11 1.13 S &am

8、p; Q Q & R G1 G2 2) 在有效电平作用下(在有效电平作用下(S=0、R=1) ,无论初态,无论初态Q n为为0或或1,触发器都会转变为触发器都会转变为1态。态。 0 01 1 S & Q Q & R G1 G2 若初态若初态Qn = 1若初态若初态Q Qn n = 0= 01 10 01 10 01 10 01 11 10 0 2、工作原理、工作原理.14 S & Q Q & R G1 G2 3) 在有效电平作用下(在有效电平作用下( S=1、R=0 ),无论初态),无论初态Q n为为0或或1,触发器都会转变为触发器都会转变为0态。态。1

9、 10 0初态初态Qn = xx x1 10 0 2、工作原理、工作原理.15 4) 4) 当(当( S=0S=0、R=0 R=0 )时,无论初态)时,无论初态Q Q n n为为0 0或或1 1,触发器状态不定。,触发器状态不定。 S & Q Q & R G1 G2 0 00 0初态初态Qn = x1 11 1R=0R=0、S=0S=0时:时:Q=Q=1Q=Q=1,不符合触发器的,不符合触发器的逻辑关系。并且由于与非门延迟时间不可逻辑关系。并且由于与非门延迟时间不可能完全相等,在两输入端的能完全相等,在两输入端的0 0同时撤除后,同时撤除后,将不能确定触发器是处于将不能确定触发

10、器是处于1 1状态还是状态还是0 0状态。状态。所以触发器所以触发器这就是这就是基本基本RSRS触发器的触发器的此状态为不定此状态为不定状态。为避免不定状态,对输入信号应加状态。为避免不定状态,对输入信号应加S S+ +R R=1=1的约束条件。的约束条件。 2、工作原理、工作原理.163、触发方式、触发方式 S & Q Q & R G1 G2 0 11 01 0置置1 1端端置置0 0端端基本触发器的触发方式属基本触发器的触发方式属电平电平触发。触发。 .17S R 1 1 0 0 1 1 1 1 0 1 0 1 0 1 1 1 1 0 0 0 1 0 1 0 0 0 0 不

11、定不定 0 0 1 不定不定 4、逻辑功能、逻辑功能逻辑功能表逻辑功能表nQ1nQR+S=1保持保持置置1 1置零置零不定不定 触发器的新状态触发器的新状态Q Qn+1n+1(也称次态)不仅与(也称次态)不仅与输入状态输入状态有关,有关,也与触发器也与触发器原来原来的状态的状态Q Qn n(也称现态或初态)有关。(也称现态或初态)有关。.18特点:特点: 有两个互补的输出端,有两个稳态。有两个互补的输出端,有两个稳态。 有有复位复位(Q=0)、)、置位置位(Q=1)、)、保持原状态保持原状态三种功能。三种功能。 R为复位输入端,为复位输入端,S为置位输入端,该电路为为置位输入端,该电路为低低电

12、平有效。电平有效。 由于反馈线的存在,无论是复位还是置位,有效信号只须由于反馈线的存在,无论是复位还是置位,有效信号只须作用很短的一段时间。即作用很短的一段时间。即“一触即发一触即发”。4、逻辑功能、逻辑功能.19画工作波形的方法:画工作波形的方法: 1. 根据触发器动作特征确定状态变化的时刻;根据触发器动作特征确定状态变化的时刻; 2. 根据触发器的逻辑功能确定根据触发器的逻辑功能确定Qn+1。 S R Q Q 0 01 11 11 10 01 11 11 10 01 11 11 10 01 11 11 10 00 0不不定定 不变不变 不定不定 置置1 1 不变不变 置置1 1 不变不变

13、置置0 0 不变不变 工作波形能直观地表示其输入信号与输出的时序关系。工作波形能直观地表示其输入信号与输出的时序关系。 4、逻辑功能、逻辑功能.20(a a)逻辑图)逻辑图SRQQG1G2111QQSR(b b)逻辑符号)逻辑符号输入高电平有效输入高电平有效由逻辑图可得逻辑表达式为:由逻辑图可得逻辑表达式为:QRQQSQ 综上所述,基本综上所述,基本RSRS触发器具有复位(触发器具有复位(Q=0Q=0)、置位)、置位(Q=1Q=1)、保持原状态三种功能,)、保持原状态三种功能,R R为复位输入端,为复位输入端,S S为置为置位输入端,可以是位输入端,可以是低低电平有效,也可以是电平有效,也可以

14、是高高电平有效,取电平有效,取决于触发器的决于触发器的结构结构。.216、应用举例、应用举例例例1 用基本用基本RS触发器触发器和与非门构成四位二进制和与非门构成四位二进制数码寄存器。数码寄存器。 D3 D2 D1 D0 & & & & R S R S R S R S FF3 FF2 FF1 FF0 Q3 Q2 Q1 Q0 C r L D 高电平有效高电平有效低电平有效低电平有效数码数码输入输入数码数码输出输出置数控制置数控制(LD)清零输入清零输入(Cr).22 D3 D2 D1 D0 & & & & R S R S R S R

15、 S FF3 FF2 FF1 FF0 Q3 Q2 Q1 Q0 Cr LD 工作原理:工作原理:第一步:清零过程第一步:清零过程0 00 0 1 1不变不变 置置0 0 S=1R=0S=1R=11 11 1 1 1 0 0 0 0 R=1置置1 1 Qi=1不变不变 Qi=0第二步:置数过程第二步:置数过程Qi=DiD3D2D1D0S=Di当当S=Di=0当当S=Di=11 10 01 1 1 1 不变不变 S=1R=1保保 持持 为为 0置置 数数 前前 先先 清清 零零.23 例例2 2 消除机械开关振动引消除机械开关振动引起的抖动现象起的抖动现象 (a) (b) +5V R 1k vo v

16、o S +5V 1k A B & & Q Q +5V S 由由 B 到到 A S 由由 A 到到 B A B Q SRS接接BS 接接A 悬空时间悬空时间S接接A振动振动 S悬空时间悬空时间接接 B振动振动SR.24基本基本RSRS触发器存在的问题:触发器存在的问题: 由与非门组成的基本由与非门组成的基本RS触发器可以实现触发器可以实现记忆元件记忆元件的功能,但是当的功能,但是当RS端从端从“00”变化到变化到“11”时,触时,触发器的下一个状态不能确定,在使用中要加以发器的下一个状态不能确定,在使用中要加以约约束束,给使用带来不便。,给使用带来不便。 由由或非或非门组成的基本

17、门组成的基本RS触发器同样存在这一问题。触发器同样存在这一问题。因此,要对触发器的输入加以控制。因此,要对触发器的输入加以控制。.25 在实际应用中,触发器的工作状态在实际应用中,触发器的工作状态不仅不仅要要由由R、S端的信号来决定,而且还希望触发器按端的信号来决定,而且还希望触发器按一定的一定的节拍节拍翻转。为此,给触发器加一个时钟翻转。为此,给触发器加一个时钟控制端控制端CP,只有在,只有在CP端上端上出现出现时钟脉冲时,时钟脉冲时,触发器的状态触发器的状态才才能变化。具有时钟脉冲控制的能变化。具有时钟脉冲控制的触发器状态的触发器状态的改变改变与时钟脉冲与时钟脉冲同步同步,所以称为,所以称

18、为同步触发器同步触发器。.26 S CP R & & & & G3 G1 G2 G4 Q4 Q3 Q Q 1S C1 1R Q Q CP 逻辑符号逻辑符号 电路结构电路结构1、电路结构及逻辑符号、电路结构及逻辑符号电路结构:由电路结构:由基本基本RS触发器触发器和和时钟脉冲控制门电路时钟脉冲控制门电路组成。组成。 .272、工作原理、工作原理 S CP R & & & & G3 G1 G2 G4 Q4 Q3 Q Q S=0,R=0:Qn+1=Qn S=1,R=0:Qn+1=1 S=0,R=1:Qn+1=0 S=1,R=1:Qn+1

19、= CP=1: CP=0:状态不变:状态不变0 0 1 1& & & & 3、触发方式:、触发方式:为时钟为时钟高电平高电平触发方式。触发方式。 状态发生变化。状态发生变化。 同步同步RSRS触发器的状态转换分别由触发器的状态转换分别由R R、S S和和CPCP控制,其中,控制,其中,R R、S S控制状态转换的控制状态转换的方向方向;CPCP控制状态转换的控制状态转换的时刻时刻。.283、 触发器功能的几种表示方法触发器功能的几种表示方法1) 逻辑功能表逻辑功能表 (CP=1)nQ10000S R Qn Qn+1 说说 明明 0 0 0 0 0 1 状态不变状

20、态不变 0 0 1 1 0 1 状态同状态同S S 1 1 0 0 0 1 状态同状态同S S1 1 1 1 0 1 状态不定状态不定 1 1 1 触发器功能的几种表示方法触发器功能的几种表示方法.29RS 触发器次态卡诺图触发器次态卡诺图 2) 特性方程特性方程 0 1 0 0 1 1 S 1 RQn 0 00 01 11 10 10nnQSRQSR(约束条件)(约束条件)触发器功能的几种表示方法触发器功能的几种表示方法 触发器触发器次态次态Qn+1与输入与输入状态状态R、S及现态及现态Qn之间关之间关系的系的逻辑表达式逻辑表达式称为触发称为触发器的特性方程。器的特性方程。.30 3) 状态

21、转换图状态转换图 1 0 S=0 R=1 S=1 R=0 S=x R=0 S=0 R=x 逻辑功能表逻辑功能表 nQ10000S R Qn Qn+1 说说 明明 0 0 0 0 0 1 状态不变状态不变 0 0 1 1 0 1 状态同状态同S 1 1 0 0 0 1 状态同状态同S1 1 1 1 0 1 状态不定状态不定 1 1 1 触发器功能的几种表示方法触发器功能的几种表示方法 状态转换图表示触发器从一个状态变化到另一个状态或保状态转换图表示触发器从一个状态变化到另一个状态或保持原状不变时,对输入信号的要求。持原状不变时,对输入信号的要求。.314)驱动表)驱动表 驱动表是用表格的方式表示

22、触发器从一个状态变化到驱动表是用表格的方式表示触发器从一个状态变化到另一个状态或保持原状态不变时,对输入信号的要求。另一个状态或保持原状态不变时,对输入信号的要求。Qn+1R S功能功能Qn功能表功能表 0 1 0 1输出状态输出状态同同S S状态状态1101 1 0 1 0输出状态输出状态同同S S状态状态00011 11 101不定不定0 00 0保持保持01010 00 11 01 1Qn Qn+1 00 11 00 R S RS触发器的驱动表触发器的驱动表 触发器功能的几种表示方法触发器功能的几种表示方法 驱动表对时序逻辑电驱动表对时序逻辑电路的设计是很有用的。路的设计是很有用的。 .

23、325)波形图:触发器的功能也可以用输入输出)波形图:触发器的功能也可以用输入输出波形图直观地表示出来波形图直观地表示出来 CP S R Q SRQn+1 00Qn 010 101 11 同步同步RS触发器真值表触发器真值表在在CP为低电平期间,触发器的状态不变。为低电平期间,触发器的状态不变。 在在CP为高电平期间,为高电平期间,R、S信号影响触发器的状态。信号影响触发器的状态。触发器功能的几种表示方法触发器功能的几种表示方法.334 4、时序电路分析举例、时序电路分析举例例例1 同步同步RS触发器及逻辑门组成的时序电路及输入触发器及逻辑门组成的时序电路及输入CP、D端波形如图所示,设触发器

24、初态为端波形如图所示,设触发器初态为0,试画出触发器,试画出触发器Q 端的端的输出电压波形。同步输出电压波形。同步D锁存器(或称锁存器(或称双稳态锁存器双稳态锁存器),),适用于单端输入信号的场合。适用于单端输入信号的场合。 1 D 1S Q Q 1R CP D CP Q C1 解:同步解:同步RS触发器触发器S=D,R= D, 电路只有置电路只有置0、置、置1两种两种逻辑功能。逻辑功能。 S(R).345.5.同步同步RSRS触发器存在的问题:触发器存在的问题: C P S R Q 在在一个时钟周期一个时钟周期的整个的整个高高电平期间或整个电平期间或整个低低电平期间电平期间都能接收输入信号并

25、改变状态的触发方式称为都能接收输入信号并改变状态的触发方式称为电平电平触触发。由此引起的在发。由此引起的在一个一个时钟脉冲周期中,触发器发生时钟脉冲周期中,触发器发生多次多次翻转的现象叫做翻转的现象叫做空翻空翻。空翻是一种有害的现象,。空翻是一种有害的现象,它使得时序电路不能按时钟节拍工作,造成系统的误它使得时序电路不能按时钟节拍工作,造成系统的误动作。动作。 造成空翻现象的原因是同步触发器造成空翻现象的原因是同步触发器结构结构的不的不完善,下面将讨论的几种无空翻的触发器,都是完善,下面将讨论的几种无空翻的触发器,都是从从结构结构上采取措施,从而克服了空翻现象。上采取措施,从而克服了空翻现象。

26、.355.5.同步同步RSRS触发器存在的问题:触发器存在的问题: C P S R Q 在在一个时钟周期一个时钟周期的整个的整个高高电平期间或整个电平期间或整个低低电平期间都能接收电平期间都能接收输入信号并改变状态的触发方式称为输入信号并改变状态的触发方式称为电平电平触发。由此引起的在触发。由此引起的在一个一个时钟脉冲周期中,触发器发生时钟脉冲周期中,触发器发生多次多次翻转的现象叫做翻转的现象叫做空翻空翻。空翻是一种有害的现象,它使得时序电路不能按时钟节拍工作,空翻是一种有害的现象,它使得时序电路不能按时钟节拍工作,造成系统的误动作。造成空翻现象的原因是同步触发器造成系统的误动作。造成空翻现象

27、的原因是同步触发器结构结构的的不不完善。完善。 若要达到每来一个时钟只变化一次,对信号的要求是:若要达到每来一个时钟只变化一次,对信号的要求是:信号的最小周期大于时钟周期。信号的最小周期大于时钟周期。电路电路对信号的敏感时间长对信号的敏感时间长,抗干扰能力差。,抗干扰能力差。.36S CP R G 8 G 7 G 9 G 5 G 6 & & & & & & & & 1 Q Q G 3 G 1 G 2 G 4 (1)电路结构)电路结构和逻辑符号和逻辑符号 主触发器主触发器 从触发器从触发器 Q Q 1 S C1 1R Q Q 逻辑符

28、号逻辑符号 1、由两个同步、由两个同步RS触发器组成的主从触发器触发器组成的主从触发器 “”表示表示“延迟输出延迟输出”直接接收直接接收输入信号输入信号 接收主触发器接收主触发器的输出信号的输出信号.37当当CP=1=1时:时:CP=11110Q和和Q的状态由的状态由S和和R决定决定G7被打开被打开G8被打开被打开11Q和和Q的状的状态保持不变态保持不变(2)工作原理(内部原理分析)工作原理(内部原理分析).38(2)工作原理)工作原理1S1S1R1RC1C11S1S1R1RC1C11 1S SR RCPCPQQQQ主触发器主触发器从触发器从触发器v 当当CP=1CP=1时时 两个同步两个同步

29、RSRS触发器都是在触发器都是在CP=1CP=1时有效。时有效。主主触发器根据触发器根据S S和和R R的状态的状态翻转翻转,而而从从触发器保触发器保持原来的状态持原来的状态不变不变。Q Q和和Q Q的状态由的状态由S S和和R R决定决定1 10 0有效电平有效电平无效电平无效电平Q Q和和Q Q的状态的状态保持不变保持不变.391S1S1R1RC1C11S1S1R1RC1C11 1S SR RCPCPQQQQ主触发器主触发器从触发器从触发器v 当当CPCP由由1 1变变0 0时时无论无论S S、R R的状态的状态如何如何改变改变,主主触触发器的状态发器的状态不再不再改变。而此时改变。而此时

30、从从触发器触发器按照与主按照与主触发器触发器相同相同的状的状态态翻转翻转。10100101无效电平无效电平有效电平有效电平(2)工作原理)工作原理Q Q和和Q Q的状态的状态按按Q Q和和Q Q的状态翻转的状态翻转Q Q和和Q Q的状态不变的状态不变.401S1S1R1RC1C11S1S1R1RC1C11 1S SR RCPCPQQQQ主触发器主触发器从触发器从触发器v 当当CP=0CP=0时时 主主触发器被触发器被封封锁锁,其状态保持,其状态保持不变不变;从从触发器触发器状态因受主触发状态因受主触发器状态影响,此器状态影响,此时主触发器状态时主触发器状态不变,故从触发不变,故从触发器状态也不

31、会再器状态也不会再变。变。0 0无效电平无效电平1 1有效电平有效电平Q Q和和Q Q的状态不变的状态不变Q Q和和Q Q的状态的状态也不变也不变(2)工作原理)工作原理.41(3 3)RS 触发器功能分析触发器功能分析 1) 1) 特性方程特性方程 01SRQRSQnn(约束条件)(约束条件)2) 2) 逻辑功能表逻辑功能表 nQ10000状态不定状态不定 0 1 1 1 1 1 状态同状态同S S 0 1 0 0 1 1 状态同状态同R R 0 1 1 1 0 0 状态不变状态不变 0 1 0 0 0 0 说说 明明 Qn+1 Qn R S 1 1 1 3) 3) 状态转换图状态转换图 1

32、 0 S=0 R=1 S=1 R=0 S=x R=0 S=0 R=x .42(4 4)电路特点)电路特点主从主从RSRS触发器采用主从控制结构,从根本上解决了输触发器采用主从控制结构,从根本上解决了输入信号直接控制的问题。入信号直接控制的问题。 具有具有CPCP1 1期间接收输入信号,期间接收输入信号,CPCP下降沿到来时触发翻转的下降沿到来时触发翻转的特点。在特点。在CPCP的一个变化周期中触发器的一个变化周期中触发器输出端的输出端的状态只可能改变状态只可能改变一次一次。主从触发器的翻转是在主从触发器的翻转是在CPCP由由1 1变变0 0时刻(时刻(CPCP下降沿)发下降沿)发生的,生的,C

33、PCP一旦变为一旦变为0 0后,主触发器被封锁,其状态不后,主触发器被封锁,其状态不再受再受R R、S S影响,故主从触发器对输入信号的敏感时间影响,故主从触发器对输入信号的敏感时间大大缩短,只在大大缩短,只在CPCP由由1 1变变0 0的时刻触发翻转,因此不会的时刻触发翻转,因此不会有有空翻空翻现象。现象。仍然存在着仍然存在着约束约束问题。问题。即在即在CPCP1 1期间,输入信号期间,输入信号R R和和S S不能不能同时为同时为1 1。.43 RS RS触发器的特性方程中有一触发器的特性方程中有一约束约束条件条件SR=0SR=0,即,即在工作时,不允许输入信号在工作时,不允许输入信号R R

34、、S S同时同时为为1 1。这一约束。这一约束条件使得条件使得RSRS触发器在使用时,有时感觉不方便。如触发器在使用时,有时感觉不方便。如何解决这一问题呢?我们注意到,触发器的两个输何解决这一问题呢?我们注意到,触发器的两个输出端出端Q Q、 在正常工作时是互补的,即一个为在正常工作时是互补的,即一个为1 1,另一个一定为另一个一定为0 0。因此,如果把这两个信号通过两根。因此,如果把这两个信号通过两根反馈线分别引到输入端的反馈线分别引到输入端的G7G7、G8G8门,就一定有一个门,就一定有一个门被封锁,这时,就不怕输入信号同时为门被封锁,这时,就不怕输入信号同时为1 1了。这就了。这就是主从

35、是主从JKJK触发器的触发器的构成思路构成思路。 2、主从、主从 JK 触发器触发器 Q.44S CP R G 8 G 7 G 9 G 5 G 6 & & & & & & & & 1 Q Q G 3 G 1 G 2 G 4 电路结构电路结构.45主从主从RSRS触发器触发器 S SR R(2 2) 主从主从JKJK触发器的功能分析触发器的功能分析电路结构电路结构 J CP K & & & & & & & & 1 & & G8 G7 G10 G11 G9

36、 G3 G1 G2 G4 G6 G5 Q Q Q Q 1 1)逻辑功能:特性方程)逻辑功能:特性方程10nnQSRQSRSJQR = KQ 将将代入上式,得到代入上式,得到JK触发器的特性方程:触发器的特性方程:1nnnnQJQKQQnnJQKQ.463 3)JK JK 触发器的状态转换图触发器的状态转换图nQ10000J K Qn Qn+1 说说 明明 0 0 0 0 0 1 状态不变状态不变 0 0 1 1 0 1 置置 01 1 0 0 0 1 置置 1 1 1 1 1 0 1 翻翻 转转 1 1 1 2 2)JK JK 触发器的功能表触发器的功能表 Qn0 1 1 0 J=X K=1

37、J=1 K=X J=X K=0J=0 K=X 任何结构的任何结构的JKJK触发器都具有与以上相同的触发器都具有与以上相同的功能表功能表、特性方程特性方程及及状态转换图状态转换图。nn1QKQJQn JKQn+1 00Qn 010 101 11Q Qn n (2 2)功能分析)功能分析.47(3 3)工作波形)工作波形 1J C1 1K Q Q J CP K CP J K Q 1 0 0 1 1 1 0 0 JKQn+1 00Qn 010 101 11Q Qn n JKJK触发器真值表触发器真值表已知已知CPCP、J J、K K 信号的波形,触发器的初信号的波形,触发器的初态为态为0 ,0 ,画

38、出输出端画出输出端Q Q的工作波形。的工作波形。低电平触发低电平触发在高电平处接收输入信号在高电平处接收输入信号在在CPCP脉冲的高电平期间将输入脉冲的高电平期间将输入信号存储于主触发器。信号存储于主触发器。在在CPCP脉冲的低电平到脉冲的低电平到来时发生状态变化。来时发生状态变化。在画主从触发器的波形图时,应注意以下两点:在画主从触发器的波形图时,应注意以下两点: (1 1)触发器的触发翻转发生在时钟脉冲的)触发器的触发翻转发生在时钟脉冲的触发沿触发沿(这里(这里是下降沿)。是下降沿)。 (2 2)在)在CP=1CP=1期间,如果期间,如果输入信号输入信号的状态的状态没有没有改变,判断改变,

39、判断触发器触发器次态次态的依据是时钟脉冲下降沿的依据是时钟脉冲下降沿前前一瞬间一瞬间输入端的输入端的状态。状态。.48例例1 主从主从JK 触发器的输入信号触发器的输入信号CP、D 的波形分别如图所示,的波形分别如图所示,设触发器的初态为设触发器的初态为1,试画出输出端,试画出输出端 L 的波形。的波形。 C1 D CP 1J 1K & L Q Q 1 CP D L Q Q JKQn+1 00Qn 010 101 11Qn JK 触发器真值表触发器真值表J(K)解:解:J=D,K= D, 只有置只有置0和置和置1两种功能。两种功能。 QCPQCPL 在在CP脉冲的低电平期间将输入脉冲的

40、低电平期间将输入信号存储于主触发器。信号存储于主触发器。在在CP脉冲的高电平到脉冲的高电平到来时发生状态变化。来时发生状态变化。高电平触发高电平触发5.1.3、主从、主从JK 触发器触发器 .49 例例2 设负跳沿触发的设负跳沿触发的JK触发器的时钟脉冲和触发器的时钟脉冲和 J、K 信号的波信号的波形如图所示,画出输出端形如图所示,画出输出端Q的波形。设触发器的初始状态为的波形。设触发器的初始状态为0。 CP J K Q 1 2 3 4 5 6 7 在在CP脉冲的脉冲的高电平高电平期间期间信号存储于主触发器。信号存储于主触发器。在在CP脉冲的脉冲的低电平低电平到到来时发生状态变化。来时发生状态

41、变化。 C1 J CP 1J 1K Q Q K 5.1.3、主从、主从JK 触发器触发器.505.1.3 主从主从T 触发器和触发器和T触发器触发器 只要将只要将JK触发器的触发器的J、K端连接在一起作为端连接在一起作为T端端( (J = K = T), 就构成了就构成了T触发器。触发器。 1)1)特性方程特性方程 NoImagennnQTQTQ11JC11KCPTQQ1TC1CPTQQ用用JKJK触发器构成的触发器构成的T T触发器触发器 (a a)逻辑图)逻辑图 (b b)逻辑符号)逻辑符号(a)(b)1.T 触发器触发器.515.1.3 主从主从T 触发器和触发器和T触发器触发器T触发器

42、的功能是触发器的功能是T为为1时,为计数状态,时,为计数状态,T为为0时为保持状态。时为保持状态。 2)T触发器逻辑功能表触发器逻辑功能表 NoImagennnQTQTQ1T T触发器的功能表触发器的功能表.525.1.3 主从主从T 触发器和触发器和T触发器触发器3)T3)T触发器的状态转换图触发器的状态转换图 0T=010T=1T=T=1T触发器的状态转换图触发器的状态转换图 .535.1.3 主从主从T 触发器和触发器和T触发器触发器 当当T T触发器的输入控制端为触发器的输入控制端为T=1T=1时,则触发器每输入一时,则触发器每输入一个时钟脉冲个时钟脉冲CPCP,状态便翻转一次,这种状

43、态的触发器称,状态便翻转一次,这种状态的触发器称为为TT触发器。触发器。TT触发器的特性方程为:触发器的特性方程为:nQQ1nC11KCP1J1QQ2.T 触发器触发器.54(a a) C1 J CP 1J 1K Q Q K 5.1.3 主从主从JK 触发器存在的问题触发器存在的问题问题:一次变化问题:一次变化 主从主从JK触发器如图(触发器如图(a)所示,设初始状态为)所示,设初始状态为0,已,已知输入知输入J、K的波形图如图(的波形图如图(b),画出输出),画出输出Q的波形图。的波形图。CPJKQ=0图(图(b) b) 主从主从JKJK触发器的一次变化波形触发器的一次变化波形 J CP K

44、 & & & & & & & & 1 & & G8 G7 G10 G11 G9 G3 G1 G2 G4 G6 G5 Q Q Q Q .555.1.3 主从主从JK 触发器存在的问题触发器存在的问题 由此看出,主从由此看出,主从JKJK触发器在触发器在CP=1CP=1期间,主触发器只变化(翻期间,主触发器只变化(翻转)一次,这种现象称为一次变化现象。一次变化现象也是一转)一次,这种现象称为一次变化现象。一次变化现象也是一种有害的现象,如果在种有害的现象,如果在CP=1CP=1期间,输入端出现干扰信号,就可期间,输入端

45、出现干扰信号,就可能造成触发器的误动作。为了避免能造成触发器的误动作。为了避免发生一次变化现象发生一次变化现象,在使用,在使用主从主从JKJK触发器时,要保证在触发器时,要保证在CP=1CP=1期间,期间,J J、K K保持状态不变。保持状态不变。 要解决一次变化问题,仍应从电路要解决一次变化问题,仍应从电路结构结构上入手,让触发器只上入手,让触发器只接收接收CPCP触发沿到来触发沿到来前一瞬间前一瞬间的输入信号。这种触发器称为的输入信号。这种触发器称为边沿边沿触发器触发器。CPJKQ=0图(图(b)b)主从主从JKJK触发器的一次变化波形触发器的一次变化波形.565.1.4 5.1.4 边沿

46、触发器边沿触发器 边沿触发器不仅将触发器的边沿触发器不仅将触发器的触发翻转触发翻转控制控制在在CPCP触发沿到来的一瞬间,而且将触发沿到来的一瞬间,而且将接收输入信接收输入信号号的时间也控制在的时间也控制在CPCP触发沿到来的触发沿到来的前一瞬间前一瞬间。因此,边沿触发器既没有因此,边沿触发器既没有空翻空翻现象,也没有现象,也没有一一次变化次变化问题,从而大大提高了触发器工作的可问题,从而大大提高了触发器工作的可靠性和抗干扰能力。靠性和抗干扰能力。.57 D & & & & & & G5 G6 G3 G4 G1 G2 Q Q CP RS5.1.4

47、 5.1.4 边沿触发器边沿触发器 1 1、电路结构和逻辑符号、电路结构和逻辑符号 一、一、 维持维持- -阻塞阻塞D D触发器触发器 同步同步RSRS触发器的基础上,再加两个门触发器的基础上,再加两个门G5G5、G6G6,将输入信,将输入信号号D D变成互补的两个信号分别送给变成互补的两个信号分别送给R R、S S端,即端,即R= R= ,S=DS=D,就,就构成了构成了同步同步D D触发器触发器。很容易验证,该电路满足。很容易验证,该电路满足D D触发器的逻触发器的逻辑功能,但有同步触发器的辑功能,但有同步触发器的空翻空翻现象。现象。 D.58D & & & &am

48、p; & & G5 G6 G3 G4 G1 G2 Q Q CP RS5.1.4 5.1.4 边沿触发器边沿触发器 1 1、电路结构和逻辑符号、电路结构和逻辑符号 一、一、 维持维持- -阻塞阻塞D D触发器触发器 为了克服空翻,并具有边沿触发器的特性,在下图电路为了克服空翻,并具有边沿触发器的特性,在下图电路的基础上引入三根反馈线的基础上引入三根反馈线L1L1、L2L2、L3L3。L3L2L1.595.1.4 5.1.4 边沿触发器边沿触发器 1 1、电路结构和逻辑符号、电路结构和逻辑符号 RD D SD & & & & & &

49、G5 G6 G3 G4 G1 G2 Q Q CP S 1D R Q Q C1 RD SD 逻辑图逻辑图逻辑符号逻辑符号1 10 0S SD D、R RD D分别为分别为直接直接置置1 1和置和置0 0 信号,低电平有效。信号,低电平有效。 基本RS触发器一、一、 维持维持- -阻塞阻塞D D触发器触发器 .605.1.4 5.1.4 边沿触发器边沿触发器 1 1、电路结构和逻辑符号、电路结构和逻辑符号 RD D SD & & & & & & G5 G6 G3 G4 G1 G2 Q Q CP S 1D R Q Q C1 RD SD 逻辑图逻辑图逻辑

50、符号逻辑符号预预 置置 端端清清 零零 端端1 10 01 10 00 01 11 11 11 11 11 11 11 10 0S SD D、R RD D分别为直接置分别为直接置1 1和置和置0 0 信号,低电平有效。信号,低电平有效。 基本RS触发器一、一、 维持维持- -阻塞阻塞D D触发器触发器 .61 2 2、工作原理、工作原理 RD D SD & & & & & & G5 G6 G3 G4 G1 G2 Q Q CP Q5 Q6 Q3 Q4 SD=RD =1 &0 01 11 11 11 1Qn+1=Qn&D DD DCP

51、 = 0 DQ 5DQ 65.1.4 5.1.4 边沿触发器边沿触发器 CP = 0 期间期间D信号存于信号存于Q6一、一、 维持阻塞维持阻塞D D触发器触发器 .62 RD D SD & & & & & & G5 G6 G3 G4 G1 G2 Q Q CP Q5 Q6 Q3 Q4 CPCP由由0 0变变1 1DQn 1DQn 1&D DD DD DD DD DD D在在CPCP脉冲的上升沿到来时,触法器的状态改变,且与脉冲的上升沿到来时,触法器的状态改变,且与D D信号相同信号相同SD=RD =1 2 2、工作原理、工作原理 5.1.4

52、 5.1.4 边沿触发器边沿触发器 一、一、 维持阻塞维持阻塞D D触发器触发器.63 RD D SD & & & & & & G5 G6 G3 G4 G1 G2 Q Q CP Q5 Q6 Q3 Q4 2 2、工作原理、工作原理 SD=RD =1 CP=1& D DD D1 1若若Q3=0, Q4=1 0 01 11 10 0置置0维持线,维持线, 置置1阻塞线阻塞线1 10 01 15.1.4 5.1.4 边沿触发器边沿触发器 一、一、 维持阻塞维持阻塞D D触发器触发器.64 RD D SD & & & &am

53、p; & & G5 G6 G3 G4 G1 G2 Q Q CP Q5 Q6 Q3 Q4 2 2、工作原理、工作原理 CP=1& D DD D1 1若若Q3=1, Q4=0 1 10 00 0置置1维持线维持线1 1& 1 1SD=RD =1 1 15.1.4 5.1.4 边沿触发器边沿触发器 一、一、 维持阻塞维持阻塞D D触发器触发器置置0阻塞线阻塞线.653 3、触发方式、触发方式维持阻塞维持阻塞D D触发器在触发器在CPCP脉冲的上升沿产生状态变化,属脉冲的上升沿产生状态变化,属上升上升沿沿 触发方式。其触发方式。其次态次态取决于取决于CPCP脉冲上升沿到

54、达前瞬间脉冲上升沿到达前瞬间D D端的信号端的信号。 RD D SD & & & & & & G5 G6 G3 G4 G1 G2 Q Q CP 5.1.4 5.1.4 边沿触发器边沿触发器 S 1D R Q Q C1 RD SD 逻辑符号逻辑符号一、一、 维持阻塞维持阻塞D D触发器触发器.66 4 4、 逻辑功能逻辑功能 逻辑功能表逻辑功能表 nQ1n Q0 0 0 0 1 0 1 0 1 1 1 1 特性方程特性方程Qn+1=D状态转换图状态转换图 D=1 D=0 D=0 D=1 0 1 D5.1.4 5.1.4 边沿触发器边沿触发器 一、一

55、、 维持阻塞维持阻塞D D触发器触发器.67工作波形工作波形 S 1D R Q Q “1” D CP C1 CP D Q D D 触发器的逻辑功能表触发器的逻辑功能表 nQ1n QD 0 0 0 0 1 0 1 0 1 1 1 1 维持阻塞维持阻塞D触发器状态变化产生在时钟触发器状态变化产生在时钟 脉冲的上升沿,其次态决定于该时刻前脉冲的上升沿,其次态决定于该时刻前 瞬间输入信号瞬间输入信号D。 5.1.4 5.1.4 边沿触发器边沿触发器 一、一、 维持阻塞维持阻塞D D触发器触发器.68二、二、 由传输门组成的由传输门组成的CMOSCMOS主从主从D D触发器触发器 DCPCPG1G2CP

56、CPCPCPQQG3G4CPCPTG111TG2TG4TG311主触发器从触发器QQ1DC1逻辑符号逻辑符号 用用CMOSCMOS逻辑门逻辑门和和CMOSCMOS传输门传输门组成的主从组成的主从D D触发器。图中,触发器。图中,G1G1、G2G2和和TG1TG1、TG2TG2组成主触发器,组成主触发器,G3G3、G4G4和和TG3TG3、TG4TG4组成从组成从触发器。触发器。CPCP和为互补的时钟脉冲。由于引入了传输门,该电和为互补的时钟脉冲。由于引入了传输门,该电路虽为路虽为主从结构主从结构,却没有,却没有一次变化一次变化问题,具有边沿触发器的问题,具有边沿触发器的特性。特性。5.1.4

57、5.1.4 边沿触发器边沿触发器 1 1电路结构电路结构.69二、二、 由传输门组成的由传输门组成的CMOSCMOS主从主从D D触发器触发器 DCPCPG1G2CPCPCPCPQQG3G4CPCPTG111TG2TG4TG311主触发器从触发器QQ1DC1逻辑符号逻辑符号2.2.工作原理:工作原理: (1) CP(1) CP正跳变后:正跳变后: TGTG1 1导通,导通,TGTG2 2截止截止输入信号输入信号D D 送入主触发器送入主触发器Q Q,。,。TGTG3 3截止,截止,TGTG4 4导通导通从触发器维持在原来的状态不变。从触发器维持在原来的状态不变。 5.1.4 5.1.4 边沿触

58、发器边沿触发器 .70二、二、 由传输门组成的由传输门组成的CMOSCMOS主从触发器主从触发器 DCPCPG1G2CPCPCPCPQQG3G4CPCPTG111TG2TG4TG311主触发器从触发器QQ1DC1逻辑符号逻辑符号2.2.工作原理:工作原理: (2) CP(2) CP负跳变后:负跳变后: TGTG1 1截止,截止,TGTG2 2导通导通主触发器维持原态不变。主触发器维持原态不变。 TGTG3 3导通,导通,TGTG4 4截止截止主触发器的状态送入从触发器使主触发器的状态送入从触发器使Q Q状态变化。状态变化。 5.1.4 5.1.4 边沿触发器边沿触发器.713. 3. 由传输门

59、组成的由传输门组成的CMOSCMOS主从触发器主从触发器 (2 2) D D触发器的逻辑功能触发器的逻辑功能 逻辑功能表逻辑功能表nQ1n QD000010101111特性方程特性方程Qn+1=D状态转换图状态转换图 D=1 D=0 D=0 D=1 0 1 触发方式:在触发方式:在CP高电平期间存储信号,高电平期间存储信号,CP的负跳的负跳沿触发翻转沿触发翻转.5.1.4 5.1.4 边沿触发器边沿触发器.72 (2 2) D D触法器的逻辑功能触法器的逻辑功能 CP D Q 5.1.3 5.1.3 主从触发器主从触发器3. 由传输门组成的由传输门组成的CMOS主从触发器主从触发器 工作波形工

60、作波形QQ1DC1逻辑符号逻辑符号.73例例 1:高速:高速CMOS边沿边沿D触发器触发器74HC74电路及输入电路及输入CP、RD、SD和和D信号波形分别如图所示,设触发器的初态为信号波形分别如图所示,设触发器的初态为1,试对应画,试对应画出输出端出输出端Q的波形。的波形。 S 1D Q 17474 2 SD D CP C1 RD C P SD RD 1 2 3 D Q 直接(异步)输入端直接(异步)输入端 5.1.4 5.1.4 边沿触发器边沿触发器.741. 集成主从集成主从RS 触发器触发器(TTL集成主从集成主从RS触发器触发器74LS71 )QQSDRDC1S1S2S3R1R2R3

61、RS1CP1S1R&逻辑符号逻辑符号 1234567891011121314NCRDS1S2S3QGNDQR1R2R31CPSDVCC引脚分布图引脚分布图 5.2 5.2 集成触发器集成触发器.75主从主从RS 触发器触发器74LS71功能表功能表 QQSDRDC1S1S2S3R1R2R3RS1CP1S1R&逻辑符号逻辑符号 定不HHHHHL H LHHLHLHHHQnQnLLHHHLLHLHHLQQ 1R 1S 时钟时钟CP 清零清零R RD D预置预置S SD D 输出输出输输 入入5.2 5.2 集成触发器集成触发器.7676 2. 集成主从集成主从JK触发器触发器-HC76逻辑符号逻辑符号引脚图引脚图预预 置置 输输 入入 端端清清 零零 输输 入入 端端1)、逻辑符号和引脚图、逻辑符号和引脚图高速高速CMOSCMOS双双JKJK触发器触发器 属于负跳沿触发的边沿触发器属于负跳沿触发的边沿触发器 1Q 1Q 1SD 2RD 2Q 1RD 2SD S 1J C1 1K R 1J 1CP 1K 2J 2CP 2K 2Q 1 2 3 4 5 6 7 8 9 10 11

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论