基于FPGA的DDS设计说明_第1页
基于FPGA的DDS设计说明_第2页
基于FPGA的DDS设计说明_第3页
基于FPGA的DDS设计说明_第4页
基于FPGA的DDS设计说明_第5页
已阅读5页,还剩8页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、一、实验名称:基于FPGA的DDS信号源设计二、技术规:1.实验目标:设计一个直接数字频率合成(DDS,Direct Digital Synthesis),DDS是一种新型的频率合成技术。DDS 技术是一种把一系列数字形式的信号通过DAC 转换成模拟信号的合成技术。DDS 技术具有频率切换时间短,频率分辨率高,频率稳定度高,输出信号的频率和相位可以快速切换,输出相位可连续,并且在改变时能够保持相位的连续,很容易实现频率、相位和幅度的数字控制。它在相对带宽、频率转换时间、相位连续性、高分辨率以与集成化等一系列性能指标方面远远超过了传统频率合成技术。因此在现代电子系统与设备的频率源设计中,尤其在通

2、信领域,直接数字频率合成器的应用越来越广泛。2.实现功能:本实验最后将设计出一个具有频率控制和相位移控制功能的DDS。3.引脚:本实验有三个输入端口,8位的频率控制字端口,分别接8个开关按键,8位的相位控制字端口,分别接另外的8个开关按键,系统时钟输入端口;一个8位输出端口,接D/A的输入端口。FPGA板上的时钟频率为50MHz,本实验将其10分频后得到5MHz再使用。三总体设计方案;1.DDS原理:实验采用目前使用最广泛的一种DDS 方式是利用高速存储器作查找表,然后通过高速DAC 输出已经用数字形式存储的波形。图1:DDS 系统的基本原理图图1中虚方框部分是DDS 的核心单元,它可以采用C

3、PLD/FPGA 来实现。图中的相位累加器由位全加器和位累加寄存器级联而成,可对频率控制字的二进制码进行累加运算,是典型的反馈电路。频率控制字M和相位控制字分别控制DDS 输出正(余)弦波的频率和相位。每来一个时钟脉冲,相位寄存器以步长M递增。相位寄存器的输出与相位控制字相加,其结果作为正(余)弦查找表的地址。正(余)弦查找表的数据存放在ROM中,部存有一个周期的正弦波信号的数字幅度信息,每个查找表的地址对应于正弦波中0°360°围的一个相位点。查找表把输入的址信息映射成正(余)弦波的数字幅度信号,同时输出到数模转换器DAC 的输入端,DAC输出的模拟信号经过低通滤波器 (

4、LPF),可得到一个频谱纯净的正(余)弦波。DDS 具体工作过程如下:每来一个时钟脉冲clk,N 位全加器将频率控制数据M 与累加寄存器输出的累加相位数据N 相加,把相加后的结果送至累加寄存器的输入端。累加寄存器一方面将上一时钟周期作用后所产生的新的数据反馈到加法器的输入端,以使加法器在下一时钟的作用下继续与频率控制数据M 相加;另一方面将这个值作为取样地址值送入幅度/相位转换电路,此电路根据取样地址输出相应的波形数据。最后经D/A 转换器和低通滤波器将波形数据转换成所需要的模拟波形。DDS 输出信号的频率由下式决定:q=()×clk (代表取样点数,M 为频率控制字、代表存储器中存

5、储数据的多少,N 代表累加器的位数,clk 代表基准时钟频率) 。调节M 可以改变取样的点数,从而改变频率。假定基准时钟为70MHz,累加器为16 位,则clk=70MHz ,Y=65536 (N16),设M12 则X=4096,所以q=(4096/65536) ×70=4.375MHz。可见,通过设定相位累加器位数N、频率控制字M 和基准时钟的值,就可以产生任一频率的输出。DDS 的频率分辨率定义为:q=clk/由于基准时钟一般是固定的,因此相位累加器的位数就决定了频率的分辨率。2.功能实现:如果利用AT29C010芯片实验接线图如下:图2:DDS试验连线图顶层模块图:图3:DDS

6、顶层设计电路图3.系统总体框图:开关单元ROMD/A示波器相位累加器图4:总体框图4.模块描述与功能实现(这里采用的是自己编的rom,没有用falsh芯片):顶层模块:顶层模块主要用于分频,定义系统的输入和输出端口。由于实验箱采用系统时钟位50MHz,本实验才有时钟频率为5MHz,所以本模块主要负责将时钟进行10分频。模块将调用相位累加模块和rom模块。模块端口有:系统时钟输入端口(接系统时钟),8位频率控制字输入端口和8位相位控制输入端口(接16个开关按键),8位数据输出端口(接D/A的8位输入端口),复位输入端(接一个按键),分频后的时钟输出(接D/A的时钟输入)。名称方向电平位宽功能cl

7、kinput3.3V1系统时钟信号,(50MHZ)F input3.3V8频率控制字reset input3.3V1复位信号P input3.3V8相位控制字sin_out output3.3V8正弦波数据clk_5MHzoutput3.3v1分频后的时钟相位累加模块:相位累加主要是利用频率控制字和相位控制字来累加出寻址地址,N=N+M;address=N+P;其中N位累加寄存器,初期为0;M位频率控制字;address为寻址地址。模块端口有:时钟输入端口(接顶层模块5MHz时钟),复位输入端口(接顶层模块复位端),8位频率控制字输入端口和8位相位控制输入端口(分别接顶层模块的8位频率控制字输

8、入端口和8位相位控制输入端口),地址输出端口(将地址通过顶层模块传送给rom模块,8位)。名称方向电平位宽功能clk_5MHInput3.3V1分频后时钟(5MHz)MInput3.3V8频率控制字resetInput3.3V1复位信号data_PMInput3.3V8相位控制字data_outOutput3.3V13rom的地址rom模块:首先利用MATLAB生成一个.HEX文件,.HEX文件存储的是一个深度为8192,宽度为8的正弦波形数字信号(数据不能超过255)。代码为:depth=8192; %存储单元数;widths=8; %数据宽度为8位;N=0:1:8192;s=sin(pi*

9、N/125); %计算0pi/2的Sin值;fidc=fopen('dds.hex','wt'); %以"wt"的形式打开,n为换行% 写入 sinrom.hex %fprintf(fidc,'depth=%d;n',depth);fprintf(fidc,'width=%d;n',widths);fprintf(fidc,'address_radix=uns;n');fprintf(fidc,'data_radix = uns;n');fprintf(fidc,'Con

10、tent Beginn');for(x=1:depth)fprintf(fidc,'%dn', round(125*sin(pi*(x-1)/4096)+125);endfprintf(fidc,'end;');fclose(fidc);然后在quartus下利用MATLAB生成的数据编写一个单口rom,并且生成对应的.V文件,即rom模块。本模块的功能是通过传送过来的地址,查找地址所对应的数据,并将数据输出。生成的模块端口:地址输入端口(接相位累加模块传送过来的地址,13位),时钟输入端口(接分频后的5MHz时钟),数据输出端口(8位)。名称方向电平位

11、宽功能clkinput3.3V1分频后时钟(5MHz)addressinput3.3V13寻址地址qoutput3.3V8sin波形数据实验结果与分析:板子下载:将数字输出接到DA转换芯片的8个输入脚,用示波器检测DA的模拟输出信号。改变频率控制字和相位控制字(由于滤波器原因,改变相位控制字没有实际效果),观察波形的改变。频率控制字为0频率控制字为128理论值:q=(128/16384)×500k=3.90625kHz(500k为分频后的时钟)频率控制字为64理论值:q=(64/16384)×500k=1.953kHz频率控制字为32理论值:q=(32/16384)×500k=0.977kHz频率控制字为16理论值:q=(16/16384)×500k=0.488kHz频率控制字为8理论值:q=(8/16384)×500k=0.244kHz频率控制字为4理论值:q=(4/16384)×500k=0.122kHz频率控制字为1理论值:q=(1/163

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论