帆板控制系统设计报告_第1页
帆板控制系统设计报告_第2页
帆板控制系统设计报告_第3页
帆板控制系统设计报告_第4页
帆板控制系统设计报告_第5页
已阅读5页,还剩43页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、. . . . 帆板控制系统设计报告(F题)摘要: 该系统,以STC12C5A60S2单片机作为主控制器,产生PWM波,经过大功率功管IRF530芯片驱动电机让风叶转动,使帆板发生角度偏移,由角度传感器(型号WDJ22GA6)将角度的变化转化为电压,然后经OP07放大器传送到单片机的P1.2口(即ADC口),通过单片机的A/D进行AD采样转换,对角度传感器采集到的电压进行分析和处理,转换成代表角度的数字信号,采集的信号最终由LCD12864显示。此外系统还可以通过按键随时控制风力大小,使帆板固定在某一转角上,并有声光、语音提示,以便进行测试。整个测量的分辨力为1度左右,绝对误差为1度。关键字:

2、 单片机、机械式角度传感器、PID,PWM目录1系统方案论证与方案选择 2 本系统软硬件设计 2.1单元硬件电路设计 2.1.1 MCU系统与外围电路 2.1.2 角度传感器信号采集电路设计 2.1.3 直流电机风扇的驱动设计 2.1.4 语音提示电路设计 2.2 软件部分设计 2.2.1 PWM波的产生 2.2.2 STC12C5A60S2单片机AD转换的设计 2.2.3 LCD液晶显示部分的设计 2.2.4 帆板角度控制PID算法设计3. 系统连调与测试 3.1指标测试和测试结果4.结论参考文献附录1 原器件清单附录2电路原理图与印制板图附录3程序1. 系统方案论证与方案选择1.1 总体设

3、计方案题目要求设计一个帆板控制系统,通过对风扇转速的控制,调节风力的大小,改变帆板Ø,并能实时显示其转角大小。设计主要由主控单片机STC12C5A60S2驱动直流电机,使风扇工作,带动帆板的转动,由角度传感器将偏移量进行电阻电压的转换,转换结果通过运算放大器OP07进行传输,单片机的AD口对采集到的数据进行分析与处理,最后将转换的数字信号显示在LCD12864上,APR9600进行语音提示,当帆板角度到达所设定角度后,会进行提示,或者每变化多少度后进行一次提示。控制系统键盘数字显示风扇帆板转轴声光报警图一1.2方案论证与选择1.2.1 设计要求与思路 题目要求设计一个帆板控制系统,通

4、过对风扇转速的控制,调节风力的大小,改变帆板Ø,并能实时显示其转角大小。我们设计主要有主控单片机STC12C5A60S2控制直流电机(驱动芯片IFR530),使风扇工作,带动帆板的角度转动,由角度传感器将偏移量进行电阻电压的转换,转换结果通过运算放大器OP07进行传输,单片机的AD口对采集到的数据进行分析与处理,最后将转换的数字信号在LCD液晶显示屏上显示。1.2.2 方案论证与选择 风扇转速控制系统的方案论证与选择 方案一:以普通单片机为核心,配以外围电路。 采用AT89C52单片机,单片机模拟产生PWM,通过H桥与专用的驱动芯片。如: L298N驱动直流风扇,角度信号通过专用的数

5、字芯片、光电编码器、旋转变压器、机械式角度传感器等,实现角度测量。再配以LCD屏、数码管、TFT屏、点阵屏等实现控制信息以与数字信息的显示。由于普通单片机功能有限,因此在使用时外围电路较复杂,所以在较短时间实现与完成稳定性、可靠性较差。 方案二: 以高性能的处理芯片,如ARM、DSP、FPGA等为核心、配以外围驱动电路。高性能的处理芯片,处理速度比较快,片资源丰富,库函数丰富,软件编写方便。但是价格贵。分析本题,该系统的处理量并不是很大,所以我们不选择此方案。方案三:以中高档单片机为核心,配以外围驱动外围芯片组成的系统。本系统的设计采用STC12C5A60S2单片机,其特点是高速、低功耗、超强

6、抗干扰,指令代码完全兼容8051,速度快812倍。部集成MAX810专用复位电路,2路PWM,8路高速10位A/D转换(250K/S,即25万次/秒),针对电机控制,强干扰场合。其部包含中央处理器(CPU)、程序存储器(Flash)、数据存储器(SRAM)、定时/计数器、UART串口、串口2、I/O接口、高速A/D转换、SPI接口、PCA、看门狗与片R/C振荡电路等模块。STC12C5A60S2单片机几乎包含了数据采集和控制中所需的所有单元模块,可称得上一个片上系统。同时价格不高,掌握较易。基于这个特点我们选择这个芯片作为我们的控制核心。 角度传感器的选择与介绍: 数字式倾角(角度)传感器和单

7、片机技术研制而成的现代智能化倾角测量仪器,广泛应用于测量平面和圆柱面对水平方向的倾角度,以与检验各种机床与其它设备工作台与导轨的平面度、直线度和垂直位置的正确度。其特点精度高,使用方便,功能齐全,但不易调节,对调试造成困难。机械式位移传感器,是一种以电压输出与轴旋转角度或直线位移为线性关系的非线绕电位器,其特点是高精度、高寿命、高平滑性、高分辨率。可用作位置反馈、位置检测、电平调节等。该传感器使用简单,稳定。本方案就采用此传感器。接线方式如下图。 接线方法图二 通过分压获得含有角度信息的电压信号,再通过op07进行3倍放大,输入进 STC12C5A60S2单片机的AD输入口。本系统使用了本单片

8、机的10位AD. 目前比较流行直流电机驱动与速度控制采用专用驱动芯片与大功率的晶体管组成的H桥实现。分析本系统,只要求风扇旋转0-60度,所以我们采用了通过单管IFR530配以9014来实现直流风扇的驱动电路如下。控制方式采用Pwm。Pwm信号由STC12C5A60S2单片机的产生。图三显示部分有多种选择,如数码管、Lcd、点阵屏等,根据本题的特点,我们采用LCD12864来实现控制信息与测量数据的显示。根据题目要求我们选择12v12W的不带调速的直流风扇。2. 本系统软硬件设计系统主要由单片机产生PWM信号,通过大功率的场效应管驱动直流风扇,对其的转速进行控制,使帆板偏离原来的方向,采用角度

9、传感器记录偏移量并实现角度电压的转换,将单片机处理结果在显示在LCD12864。根据题目要求,我们的设计分硬件、软件两部分。2.1 单元硬件电路设计 2.1.1 MCU系统与外围方案设计 因此单片机本身就具有AD口和PWM波的产生端口,所以在其外部进行采集数据时采用了它的AD十位数据存储器。电机驱动直接采用单片机自身的PWM来驱动。其原理图如下所示:图四2.1.2 角度传感器信号采集电路设计采用机械式角度传感器采集通过分压电路,实现电阻电压转换。用OP07进行信号放大,再通过单片机自带的AD口送入单片机部,进行采集信号的处理,其电路如下:图五Op07放大电路与传感器信号转换电路图六2.1.3

10、直流电机风扇的驱动设计 采用单管(IFR530)配以9013驱动电路如下图。图七2.1.4 语音提示电路设计APR9600进行语音提示,在到达所设定角度时进行相应提示。我们采用的是语音模块。电路如下图。图八2.2 系统显示软件设计本系统设计采用C51进行设计,目录结构采用树状结构,操作简单方便。开始功能选择主界面是否有按键按下按下转角显示调整风速45度演示设定转角间距转角调整结束NY图九 2.2.1 PWM波产生 STC12C5A60S2单片机集成了两路可编程计数器阵列,可用于软件定时器、外部脉冲的捕捉、高速输出以与脉宽调制(PWM)输出。根据本题所需,我们采用他的脉宽调制输出,产生相应的PW

11、M波形,用以进行直流电风扇的驱动。其产生过程如下所述:先对与其相关的寄存器和端口进行初始化,然后选择其工作模式和时钟源频率,并对捕获寄存器进行设定,因PWM的输出频率受其占空比影响,而占空比于其捕获寄存器有关。最后将其按照相应的频率变化输出即可。在PWM输出时对应的端口状态如下:图十其在单片机部进行的相关频率计算为: PWM的频率=PCA时钟输入源频率/256。相关数学计算如下:Ut 1 t 2 t电机的电枢绕组两端的电压平均值U为: U=(t1*U)/(t1+t2) = (t1*U)/T = D*UD=t/TD为占空比。占空比D表示了在一个周期T里开关导通的时间与周期的比值。D变化围为0D1

12、。当电源电压U不变的情况下,输出电压的平均值U取决于占空比D的大小,改变D也就改变了输出电压的平均值,从而达到控制电机转速的目的。 2.2.2 STC12C5A60S2单片机AD转换的设计STC12C5A60S2自带AD转换端口,根据题目所需,我们使用其AD转换的十位寄存器。在进行AD转换口应用时,先将其AD中断开启,再对其进行初始化,然后启动AD转换,在启动后进行相应延时,给以电压相应的缓冲时间。然后才进行AD转换的信号采集。当所采集的角度电压信号进入后,会自动存入AD存储器,将其从ADC_RES和ADC_RESL中取出后对其进行的相应转换计算如下:=(5A/1023-3.75)/0.014

13、。其中为所要显示的角度,A为由AD口进入的角度电压数字信号,3.75为其基准电压。在处理完后,将其发送至LCD显示模块显示。2.2.3 LCD液晶显示的设计 本系统采用LCD12864屏作为本系统的显示器,12864有两种显示模式,串行与并行两种方式,本系统采用串行通信,采用较少的单片机管脚。液晶显示与树状目录分页是本系统设计的一大特色。 根据本题目要求,我们设计了人性化的开机与操作界面。我们程序设计采用了C语言进行设计,我们都知道C语言基于过程的,但我们树状目录采用类似C+语言的面向对象的变成思路。操作非常简单直观。 我们的显示部分的另一特色是充分发挥了单片机的定时器功能作用,把按键扫描、信

14、息更新、菜单控制等都放到了定时器的中断里,使得控制、数据更新、按键响应与时准确。 2.2.4 AD转化后信号处理与角度控制的PID算法设计 我们采用的是STC12C5A60S2自带AD进行模拟信号的转换处理,处理信号不是单片机擅长的,根据本题设计要求,我们在AD信号处理的过程中采用了信号放大100倍来提高信号精度。对于本题中最后要求能再7-15cm 随意位置,5秒实现设定角度的要求,我们设计我们的PID算法,算法框图如下:设定角度Cpu开启AD获取角度信息两者角度做差值运算控制风扇减速或加速最终达到设定角度图十一 分析此题本题是PID控制中最典型的一种,其算法简单。但题目要求能再在5秒完成这一

15、过程,这是本题的难点。我们通过分析提出了继电器和PWM控制结合的思路。主要思路是,通过控制继电器使风扇在1-2秒获得比较高的转速,然后通过PID算法控制风扇达到设定角度,实验证明此方法极大缩短了风扇提速时间。并最终能再题目要求的时间达到设定角度。3. 系统测试 测试工具是符合国标的普通测角仪器 1、 角度测量测试有一定的误差,经过分析误差主要来之两个部分,帆船风帆的材料的选择理论角度测量值10度11度15度14.8度20度19度30度32度40度39度50度52度55度56.5度60度59.1度2、 调整给定角度PID测试理论角度测量值(单位/s)10度3s15度4s20度4s30度5s40度

16、6s50度8s55度8s60度8s系统性能分析与总结4. 系统测试4.1 指标测试和测试结果4.1.1 风扇转速系统的测试 4.1.1.1 出现的问题:电机驱动动力不足,帆板不能准确的到达设定的角度。 4.1.1.2 出现问题的原因与解决方案:原因:经过分析后得出其原因是电机驱动电路的可承受功率有限,且风所吹得角度不是最好角度,当帆板有一定角度是,对帆板的作用力会相对减小。所以很难达到设定角度。解决方案:经过讨论后最后在三个地方一起做修改,最终达到要求。其三个地方是:在帆板的上方加上一定的配重,使其在到达一定角度,吹力减小的同时通过地球对配重的引力,利用跷跷板原理是帆板向上继续旋转,以此补偿风

17、扇在帆板上所减少的吹力。把风扇的放置由水平放置改为带有一定倾角的放置,这样在帆板旋转一定角度后,风扇的吹力仍然可以保持不变。将电机驱动电路由原先的L298N驱动改为了由三极管和半导体功率管以与H桥驱动的电路。这一修改增强了读懂电路的线性,易于调速的控制。4.1.1.3 最终结果:在各项修改后将其结合起来,最终能够精确的控制电机的转速和帆板所需角度的稳定。5. 结论完成的功能和达到的技术指标序号具体要求 实现情况1 用手转动帆板时,能够数字显示帆板的转角Ø。显示围为060°,分辨力为2°,绝对误差5°。可测角度为0 65度,分辨力为1度,绝对误差为 1度。

18、2 当间距d=10cm时,通过操作键盘控制风力大小,使帆板转角Ø能够在060°围变化,并要时显示Ø。在 15 cm可以实时显示角度。 3 当间距d=10cm时,通过操作键盘控制风力大小,使帆板转角Ø稳定在45°±5°围。要求控制过程在10秒完成,实时显示Ø,并由声光提示,以便进行测试。可以控制其稳定在45度左右,并在角度到达后进行相应提示。 4 当间距d=10cm时,通过键盘设定帆板转角,其围为060°。要求Ø在5秒达到设定值,并实时显示Ø。最大误差的绝对值不超过5°。可以进

19、行相应的固定角度设置,并在限制围到达,进行语音提示。 5 间距d在715cm围任意选择,通过键盘设定帆板转角,围为 060°。要求Ø在5秒达到设定值,并实时显示Ø。最大误差的绝对值不超过5°。在715cm任意围在5秒实现达到的设定值最大误差绝对值不超过5°6其他。实现了语音播报功能参考文献1 黄智伟.全国大学生电子设计竞赛技能训练M.:航空航天大学,2007.2 余凯.模拟电路基础与技能实训教程M.:电子工业,2006.3 锡鹤.印制电路板电路设计实训教程M.:科学,2005.4余凯.数字电路基础与技能实训教程M.:电子工业,2006.学,20

20、07.附录1主要元器件清单单片机 STC12C5A60S2 1个直流电机 DWJ36-2 1个驱动芯片 ULN2003 1片集成运算放大器 OP07 1块液晶显示 LCD12864 1个附录2 电路原理图与印制电路板图图十二图十三附录3程序清单主程序/=/电子/=/新板复示器/2010,7,10/=#include<ad12reg.h>#include <qwqwqw.h>#include <ad12.h>#include <keymenu.h>#define uchar unsigned char#define uint unsigned in

21、tvoid main(void) uchar i,adv; PWM_NUM=0; init(); initpwm(); P1M1=0x00;P1M0=0xf0; pulse_0(255); ad_inint(); /adpro(); Menu=Open_view; Disp_View(Menu); wait(5000); Menu=Zhuanjiaodisp_view; Lcm_Clear(); Disp_View(Menu); wait(5000); wait(5000); wait(5000); wait(5000); for(adv=0;adv<10;adv+) adpro();

22、Zero_Vadv=(long float)(adbuf)*5/1023; for(adv=0;adv<10;adv+) Zero_Vsum+=Zero_Vadv; Zero_V0=Zero_Vsum/10; while(1) key_Deal();led=led;beep=beep;DelayMs(1000); 子程序void DelayMs(uchar ms) uint j;while(ms-) for(j = 0; j <125; j+);void PWM_clock(uchar clock) CMOD |= (clock<<1); CL = 0x00;CH =

23、0x00;void pulse_0(unsigned char p_on) CCAP0L=p_on;CCAP0H=p_on; CCAPM0=0x42; void pulse_1(unsigned char p_on) CCAP1L=p_on; CCAP1H=p_on; void initpwm(void) motor0=0; motor1=1; PWM_clock(1); CR=1; 3.2.2 主界面显示与功能选择:uchar keybuf,keyscanreg,keyin_num;uchar PWM_NUM;uint Time_Flash;#define Gong_Neng 0x07#de

24、fine ESC 0x0b#define UP 0x0d#define DOWN 0x0euchar Menu,uLMenu; #define Open_view 0x01#define Nor_view 0x02 #define Zhuanjiaodisp_view 0x03 #define Tiaozhengfeng_view 0x04 #define Jiaoduyanshi_view 0x05 #define Shedingjiao_view 0x06 #define Jianju_JiaoTiao_view 0x07 #define Angle_Disp_view 0x08 #def

25、ine Fengsu_Adj_view 0x09 #define Jiaodu45_Disp_view 0x10 #define SheZhiJiaodu_Disp_view 0x11 /pwm部分/sbit motor=P13;sbit motor0=P11;sbit motor1=P12;/ 延时子程序/void DelayMs(uchar ms)时 uint j;while(ms-) for(j = 0; j <125; j+);void PWM_clock(uchar clock) CMOD |= (clock<<1); CL = 0x00;CH = 0x00;voi

26、d pulse_0(unsigned char p_on) CCAP0L=p_on;CCAP0H=p_on; CCAPM0=0x42; void pulse_1(unsigned char p_on) CCAP1L=p_on; CCAP1H=p_on; void initpwm(void) motor0=0; motor1=1; PWM_clock(1); CR=1; /wait(uint dat)uint data i,j;for (j=0;j<dat;j+)i=220;while(i!=0)i-;/=/液晶显示命令/=void sendbytelcd(uchar wlcddata)u

27、char data i;for(i=0;i<8;i+)if(wlcddata<<i)&0x80)lcd_sid=1; else lcd_sid=0; lcd_clk=0;lcd_clk=1;sendcmd(uchar cmd)lcd_cs=1; sendbytelcd(0xf8); sendbytelcd(cmd&0xf0); sendbytelcd(cmd<<4)&0xf0);lcd_cs=0;wait(3);senddata(uchar odata)lcd_cs=1; sendbytelcd(0xfa); sendbytelcd(oda

28、ta&0xf0); sendbytelcd(odata<<4)&0xf0);lcd_cs=0;wait(1);lcd_init() P3M1=0x00;P3M0=0xff; lcd_rst=0;wait(10);lcd_rst=1; sendcmd(0x30);sendcmd(0x0c); sendcmd(0x01);sendcmd(0x02); sendcmd(0x80);sendcmd(0x04); sendcmd(0x0c);/=void Lcm_Clear(void) uchar data i,j;j=0x80; for(i=0;i<32;i+)sen

29、dcmd(j);j+;senddata(0x20);senddata(0x20);/=cpu_init()P0=0x00;/P2=0xf0;/P1=0x78;/P3=0xf7;/IP=0x10;/TMOD=0x11; /TH0=c_timer0_h;TL0=c_timer0_l;TH1=c_timer1_h;TL1=c_timer1_l;PCON=0x00;SCON=0xf0;TCON=0x50;IE=0x92; EA=1;ET0=1;ET1=1;/=/初始化/=init()cpu_init();lcd_init();/功能选择显示界面void Normal_Disp(void) uchar

30、data i,j,p; j=0x80; for(i=0;i<32;i+)sendcmd(j);j+;senddata(0x20);senddata(0x20); j=0x80;p=0; for(i=0;i<8;i+) sendcmd(j);j+; senddata(Gongneng1p);p+; senddata(Gongneng1p);p+; j=0x90;p=0; for(i=0;i<8;i+) sendcmd(j);j+; senddata(Gongneng2p);p+; senddata(Gongneng2p);p+; j=0x88;p=0; for(i=0;i<

31、;8;i+) sendcmd(j);j+; senddata(Gongneng3p);p+; senddata(Gongneng3p);p+; j=0x98;p=0; for(i=0;i<8;i+) sendcmd(j);j+; senddata(Gongneng4p);p+; senddata(Gongneng4p);p+; sendcmd(0x87);senddata(0x1b);void Zhuanjiao_Jianju_Disp(void) uchar data i,j,p; j=0x80; for(i=0;i<32;i+)sendcmd(j);j+;senddata(0x

32、20);senddata(0x20); j=0x80;p=0; for(i=0;i<8;i+) sendcmd(j);j+; senddata(Gongneng2p);p+; senddata(Gongneng2p);p+; j=0x90;p=0; for(i=0;i<8;i+) sendcmd(j);j+; senddata(Gongneng3p);p+; senddata(Gongneng3p);p+; j=0x88;p=0; for(i=0;i<8;i+) sendcmd(j);j+; senddata(Gongneng4p);p+; senddata(Gongneng

33、4p);p+; j=0x98;p=0; for(i=0;i<8;i+) sendcmd(j);j+; senddata(Gongneng5p);p+; senddata(Gongneng5p);p+; sendcmd(0x97+8);senddata(0x1b);void PWM_DISPLAY(uchar a) uchar i;/a=255; temp0=a/100;temp1=a/10%10; temp2=a%10; sendcmd(0x88+5); senddata(temp0+0x30); senddata(temp1+0x30); sendcmd(0x88+6); sendda

34、ta(temp2+0x30);void Fengsu_Adj_Disp(void) uchar data i,j,p; j=0x80; for(i=0;i<32;i+)sendcmd(j);j+;senddata(0x20);senddata(0x20); j=0x80;p=0; for(i=0;i<8;i+) sendcmd(j);j+; senddata(Fengsu_Adj1p);p+; senddata(Fengsu_Adj1p);p+; j=0x88;p=0; for(i=0;i<8;i+) sendcmd(j);j+; senddata(Fengsu_Adj2p)

35、;p+; senddata(Fengsu_Adj2p);p+; j=0x90;p=0; for(i=0;i<8;i+) sendcmd(j);j+; senddata(Fengsu_Adj3p);p+; senddata(Fengsu_Adj3p);p+; PWM_DISPLAY(PWM_NUM);void Jiaodu45_Disp(void) uchar data i,j,p; j=0x80; for(i=0;i<32;i+)sendcmd(j);j+;senddata(0x20);senddata(0x20); j=0x80;p=0; for(i=0;i<8;i+) s

36、endcmd(j);j+; senddata(Jiaodu45_Disp1p);p+; senddata(Jiaodu45_Disp1p);p+; j=0x90;p=0; for(i=0;i<8;i+) sendcmd(j);j+; senddata(Jiaodu45_Disp2p);p+; senddata(Jiaodu45_Disp2p);p+; j=0x88;p=0; for(i=0;i<8;i+) sendcmd(j);j+; senddata(Fengsu_Adj2p);p+; senddata(Fengsu_Adj2p);p+; void SheZhiJiaodu_Di

37、sp(void) uchar data i,j,p; j=0x80; for(i=0;i<32;i+)sendcmd(j);j+;senddata(0x20);senddata(0x20); j=0x80;p=0; for(i=0;i<8;i+) sendcmd(j);j+; senddata(SheZhiJiaodu_Disp1p);p+; senddata(SheZhiJiaodu_Disp1p);p+; j=0x88;p=0; for(i=0;i<8;i+) sendcmd(j);j+; senddata(SheZhiJiaodu_Disp2p);p+; senddat

38、a(SheZhiJiaodu_Disp2p);p+; j=0x90;p=0; for(i=0;i<8;i+) sendcmd(j);j+; senddata(SheZhiJiaodu_Disp3p);p+; senddata(SheZhiJiaodu_Disp3p);p+; /-/名称: AD值转电压值函数 / huangjianxin/:hjx5548163./日期:2009-12-9 /- void dianya_zhuanhuan() / long float k; /3.84数字量786 uint dd; k= (long float)(adbuf)*5)/1023-Zero_V

39、0)/0.014; dd=(int)(k*100); pemp20=dd/10000; pemp21=dd/1000%10; pemp22=dd/100%10; pemp23=dd/10%10;void Angle_Disp_Disp(void) uchar data i,j,p; j=0x80; for(i=0;i<32;i+)sendcmd(j);j+;senddata(0x20);senddata(0x20); j=0x80;p=0; for(i=0;i<8;i+) sendcmd(j);j+; senddata(Angle_Disp1p);p+; senddata(Angl

40、e_Disp1p);p+; j=0x90;p=0; for(i=0;i<8;i+) sendcmd(j);j+; senddata(Angle_Disp2p);p+; senddata(Angle_Disp2p);p+; void Disp_View(uchar uLMenu) Menu=uLMenu; switch(Menu) case Open_view:disp_huanying(); break; case Zhuanjiaodisp_view: Normal_Disp(); break; case Tiaozhengfeng_view: sendcmd(0x87);sendda

41、ta(0x20); sendcmd(0x97);senddata(0x20); sendcmd(0x87+8);senddata(0x20); sendcmd(0x97);senddata(0x1b); break; case Jiaoduyanshi_view: sendcmd(0x87);senddata(0x20); sendcmd(0x97);senddata(0x20); sendcmd(0x97+8);senddata(0x20); sendcmd(0x87+8);senddata(0x1b); break; case Shedingjiao_view : sendcmd(0x87

42、+8);senddata(0x20); sendcmd(0x97);senddata(0x20); sendcmd(0x87);senddata(0x20); sendcmd(0x97+8);senddata(0x1b); break; / case Jianju_JiaoTiao_view : Zhuanjiao_Jianju_Disp(); sendcmd(0x87+8);senddata(0x20); sendcmd(0x97);senddata(0x20); sendcmd(0x87);senddata(0x20); sendcmd(0x97+4);senddata(0x1b); br

43、eak;/ case Fengsu_Adj_view : Fengsu_Adj_Disp(); break; case Angle_Disp_view : Angle_Disp_Disp(); break;/ case Jiaodu45_Disp_view : Jiaodu45_Disp(); break; /SheZhiJiaodu_Disp case SheZhiJiaodu_Disp_view : /11 SheZhiJiaodu_Disp(); break; default:break; void Gong_Neng_Deal(void) switch(Menu) case Tiaoz

44、hengfeng_view: Disp_View(Fengsu_Adj_view); break; case Zhuanjiaodisp_view: Disp_View(Angle_Disp_view); break; case Jiaoduyanshi_view: /lcd_init(); Disp_View(Jiaodu45_Disp_view); break; case Shedingjiao_view: /lcd_init(); Disp_View(SheZhiJiaodu_Disp_view); Disp_Buff0=J_ShuRu/10;Disp_Buff1=J_ShuRu%10;

45、sendcmd(0x88+5);senddata(Disp_Buff0+0x30);senddata(Disp_Buff1+0x30); break; default:break; void ESC_Deal(void) switch(Menu) case Fengsu_Adj_view: pulse_0(255); Disp_View(Zhuanjiaodisp_view); break; case Angle_Disp_view: pulse_0(255); Disp_View(Zhuanjiaodisp_view); break; case Jiaodu45_Disp_view: pul

46、se_0(255); Disp_View(Zhuanjiaodisp_view); break; case SheZhiJiaodu_Disp_view: pulse_0(255); Disp_View(Zhuanjiaodisp_view); break; default:break; void UP_Deal(void) switch(Menu) case Jianju_JiaoTiao_view: Normal_Disp(); Disp_View(Shedingjiao_view); break; case Shedingjiao_view: Disp_View(Jiaoduyanshi_view); break; case Jiaoduyanshi_view: /999 Disp_View(Tiaozhengfeng_view); break; case Tiaozhengfeng_view: Disp_View(Zhuanjiaodisp_view); break; case Fengsu_Adj_view: if(PWM_NUM>=0)&&(PWM_NUM<=255)PWM_

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论