四二十四进制计数器设计_第1页
四二十四进制计数器设计_第2页
四二十四进制计数器设计_第3页
全文预览已结束

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、实验4二十四进制计数器设计一 实验目的1熟悉uartusII的文本设计流程全过程,学习计数器的设计与仿真2掌握简单逻辑电路的设计方法与功能仿真技巧。3 学习使用VHDL语言进行二十四进制计数器的设计二. 实验仪器设备 1 PC机,1台 2 uartusII系统,1套三.实验原理1 参考二十四进制计数器设计中的相关内容;2 根据老师教学演示的相关内容。四.实验内容用VHDL语言设计一个二十四进制计数器,并进行编辑,编译与仿真。要求(1) 设置时钟信号源;(2) 设计一个计数器,并进行二十四位的计数,即计数器是二十四进制;(3) 对于所设计的程序进行编译,检查纠错。(4)程序完善之后进行程序的仿真

2、并进行波形的记录与分析。五. 实验参考程序LIBRARY ieee; ENTITY cnt24 ISPORT( CLK: IN STD_LOGIC; -输入时钟信号 Q : OUT std_logic_vector(7 downto 0); -输出信号END cnt24;ARCHITECTURE a OF cnt24 ISBEGIN PROCESS(CLK) -敏感信号 VARIABLE q1,q0: std_logic_vector(3 downto 0); BEGIN IF CLK'event AND CLK='1' THEN -测试时钟上升沿 IF q1=&quo

3、t;0010" then -高位为2时 if q0="0011" then q0:="0000"Q1:="0000" -低位为3时,高低位均清零elsif q0="1001" then q0:="0000"Q1:=q1+1; -低位为9时,低位清零,高位加1else q0:=q0+1; -否则低位计数加1end if;elseif q0="1001" then q0:="0000"Q1:=q1+1;else q0:=q0+1;end if;end if;end if;Q<=Q1&

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论