




版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、2016-5-9电子工程学院北京邮电大学中国北京海淀区西土城路10号 实验报告数字电路与逻辑设计实验目 录实验名称和实验任务要求3Quartus II原理图输入法的设计与实现3用VHDL设计与实现组合逻辑电路3用VHDL设计与实现时序逻辑电路4用VHDL实现相关电路4端口说明及连接图5实验三(3)5端口说明5连接图5实验四5端口说明5连接图6VHDL代码和原理图7实验一(2)7实验三(3)7仿真波形图9实验一(2)9实验三(3)9仿真波形图分析10故障及问题分析11总结和结论13参考文献14实验名称和实验任务要求Quartus II原理图输入法的设计与实现1. 用逻辑门设计一个半加器,仿真验证
2、其功能,生成新的半加器图形模块单元;2. 用生成的半加器模块单元和逻辑门设计一个全加器模块。仿真验证,并下载到实验板。使用拨码开关作为输入,LED作为输出;3. 使用74LS138 3-8线译码器实现逻辑函数F=CBA+CBA+CBA,仿真验证功能,并下载到实验板测试。使用拨码开关作为输入,LED作为输出。用VHDL设计与实现组合逻辑电路1. 数码管译码器用VHDL语言设计实现一个共阴极7段数码管译码器,仿真验证功能,并下载到实验板验证。使用拨码开关作为输入,7段数码管作为输出。2. 8421码转Grey码译码器用VHDL语言设计并实现一个8421码转Grey码的代码转换器,仿真验证功能,并下
3、载到实验板验证。使用拨码开关作为输入,LED作为输出。3. 4人表决器用VHDL语言设计并实现一个4人表决器。多数人赞同则通过,否则不通过。仿真验证其功能。用VHDL设计与实现时序逻辑电路1. 分频器用VHDL语言实现一个12分频的分频器。要求输出占空比为50%的方波。仿真验证功能。2. 8421十进制计数器用VHDL语言实现一个带异步复位的8421十进制计数器。仿真验证功能。3. 组合计数器、分频器、数码管译码器将之前设计的组合计数器、分频器、数码管译码器链接,下载到实验板显示计数结果。用VHDL实现相关电路用VHDL语言设计并实现数码管动态扫描器,仿真验证结果并下载到实验板。端口说明及连接
4、图 实验三(3)端口说明输入端口clock, clr:时钟信号,清零信号输出端口display:控制数码管显示ctl:控制数码管阳极连接图实验四端口说明输入端口clk: 时钟信号输出端口row, r_col, g_col: 分别控制点阵行列信号连接图VHDL代码和原理图实验一(2)实验三(3)library ieee;use ieee.std_logic_1164.all;entity autoseg isport (clock, clr: in std_logic;display: out std_logic_vector(6 downto 0);ctl: out std_logic_vec
5、tor(7 downto 0);end autoseg;architecture autoseg of autoseg iscomponent div12 isport(clk: in std_logic;clear: in std_logic;clk_out: out std_logic);end component;component counter is port (clk, clear: in std_logic;counterout: out std_logic_vector(3 downto 0);end component;component seg isport (a: in
6、std_logic_vector(3 downto 0);b: out std_logic_vector(6 downto 0);control: out std_logic_vector(7 downto 0);end component;signal number: std_logic_vector(3 downto 0);signal temp_clk: std_logic;signal enable: std_logic;beginenable <= '0'u1: div12 port map(clk => clock, clear => enable
7、, clk_out => temp_clk);u2: counter port map (clk => temp_clk, clear => clr, counterout => number);u3: seg port map (a => number, b => display, control => ctl);end autoseg;仿真波形图实验一(2)实验三(3)仿真波形图分析通过仿真波形能够看出设计的结果。从实验一(2)的波形图能分析出全加器的逻辑函数S=abc_1cnext=ab+c_1(a+b)也能够直接得出全加器的真值表:输入输出c_
8、1abscnext0000000110010100110110010101011100111111从波形能看出明显的毛刺。这体现出了组合逻辑电路的冒险特性。从实验三(3)的波形图能够看出清零是异步清零。波形无毛刺。故障及问题分析在实验三的计数器中,写出代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity counter isport(clk, clear: in std_logic;counterout: out std_logic_vector(3 downto 0);end co
9、unter;architecture counter of counter issignal nextnum: std_logic_vector (3 downto 0);beginprocess(clk, clear)beginif clear = '1' thennextnum <= "0000"end if;if (clk'event and clk='1') thenif nextnum + 1 = "1010" thennextnum <= "0000"elsenextnu
10、m <= nextnum + 1;end if;end if;end process;counterout <= nextnum;end counter;编译器报错:Error (10818): Can't infer register for "nextnum0" at counter.vhd(20) because it does not hold its value outside the clock edge经检查,将process中的代码修正为process(clk, clear)beginif clear = '1' then
11、nextnum <= "0000"elsif (clk'event and clk='1') thenif nextnum + 1 = "1010" thennextnum <= "0000"elsenextnum <= nextnum + 1;end if;end if;end process;使得不在时钟沿if语句外修改nextnum寄存器,代码编译通过并运行正确。总结和结论通过本次数字实验课,我深刻地认识到了数字电路的巨大魅力。通过VHDL语言的学习,明白了VHDL的几个特性:1. VHDL语言是一种硬件编程语言。它有着与高级语言不同的性质。VHDL语言本质上是并发运行的语言,是用来描述硬件行为的语言,和高级软件语言行为截然不同;2. VHDL语言将复杂的门电路设计连接工作抽象成为了简单的、可读性强的类似自然语言的语句,极大地便利了数字逻辑电路的设计工作。通过本课程的学习,我还认识到了学习英语的重要性。在随堂测验中,某同学提出因不会拼写英语单词而无法完成代码的编写,这真是令
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 2025版电子产品保险合同模板
- 二零二五年度汽车配件独家销售代理合同
- 农产品冷链物流基础设施2025年投资潜力与风险分析报告
- 2025年创新药靶点发现与验证技术动物模型验证报告
- 城市更新视角下2025年老旧小区改造政策导向与实施策略报告
- 数学课程教学论课件
- 2025年食品与饮料行业食品加工设备技术创新报告
- 智慧港口自动化装卸设备在2025年智慧环保信息化中的应用案例报告
- 2025年纺织服装企业数字化服务创新与客户体验报告
- 智能交通系统2025年高速公路交通拥堵监测与治理报告
- 2025年金华市警示教育基地管理中心选调考试笔试试题
- 全国集体荒地管理办法
- 2025年中国医疗建筑工程行业市场行情动态分析及发展前景趋势预测报告
- 教师招聘教育学试题及答案
- 2025年贵州省中考化学试卷真题(含答案解析)
- 山东济南属国有企业招聘笔试题库2025
- 企业IT桌面运维培训
- 2025年职业道德与社会责任考试试卷及答案
- 常见输液反应护理课件
- 2025年全国统一高考语文试卷(全国一卷)含答案
- 航天科目试题及答案
评论
0/150
提交评论