DSPBuilder用户指南_第1页
DSPBuilder用户指南_第2页
DSPBuilder用户指南_第3页
DSPBuilder用户指南_第4页
DSPBuilder用户指南_第5页
已阅读5页,还剩36页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、DSP Builder 6.0 用户指南1. 关于 DSP Builder1.1 特性 n DSP Builder支持以下特性:把MathWorks MATLAB(信号处理工具箱和滤波设计工具箱)和Simulink软件与Altera公司的Quartus II软件连接。n 支持以下ALTERA 器件系列:l Stratix®,Stratix GX,Stratix II和Stratix II GX器件l Cyclone和Cyclone II器件l APEXII,APEX 20KC和APEX 20KE器件l Mercury器件l ACEX®1K器件l FLEX 10K®

2、和FLEX®6000器件n 使用Altera DSP开发板快速建立样机。n 支持SignalTap®II逻辑分析仪,探测来自DSP上Altera器件嵌入式信号分析仪和把数据转入到MATLAB工作空间,以利用可视化分析。n 在AltLib库中支持的HDL转入模块:l VHDL或Verilog HDL设计授权转入l 转入在Quartus工程文件中的HDLn 回路中的硬件模块(HIL)能够使FPGA硬件在Simulink(AltLab library)中加速二次模拟。n 在SOPC Builder Link Library中的Avalon Blockset包括了你能用于建立一些定

3、制逻辑的模块,这些定制逻辑和Nios II以及其它的SOPC Builder设计一起工作。l 低级Avalon和辅Avalon接口模块l Avalon Read FIFO和Avalon Write FIFO捆绑模块l 全部Avalon模块是用户可以配置的l 分离的模块可用来支持Avalon端口l 将Avalon接口拖拉进DSP Builder设计模块中,你能建立任何的Avalon SOPC元件l 根据Simulink中的仿真,你能验证Avalon接口,用生成的HDL和PTF文件把你的设计输出到SOPE Buildern 包括状态机模块。n 支持DSP系统算法和执行的统一表示。n 自动生成VHD

4、L或Verilog HDL测试平台或者自动地从MATLAB和Simulink测试向量中自动生成Quartus II向量文件(.vec)。n 自动启动Quartus II编译。n 使能用位及周期精确设计仿真。n 提供和Simulink软件一起使用的各种定点算法和逻辑运算。n 生成HDL信号名的自动传播。n 使用MATLAB工作空间或已标记的子系统变量,你能说明模块参数对话框中的大部分值。1.2 一般描述在Altera可编程逻辑器件(PLDs)的数字信号处理(DSP)系统设计中,需要高级算法与硬件描述语言(HDL)开发工具。Altera DSP Builder集成了这些工具,把MathWorks的

5、MATLAB和Simulink系统级设计工具的算法开发、仿真和验证能力与VHDL和Verilog设计流程(包括Altera Quartus II软件)组合在一起。借助于你在友好的算法环境中生成的DSP设计硬件表示,DSP Builder缩短了DSP设计周期,你能把已存在的MATLAB函数和Simulink模块与Altera DSP Builder模块以及Altera IP MegaCore®函数组合在一起,把系统级设计和执行DSP算法开发连接在一起。在这种方法中,DSP Builder允许系统、算法和硬件设计人员共享一个共同的开发平台。你能使用DSP Builder中的块在Simul

6、ink中建立一个模拟系统的硬件执行。DSP Builder包含位和周期精确的Simulink块,这些块又包括许多基本操作,如算法或存储函数以及对关键设计特性优势的运用,如嵌入式PLLs,DSP块或嵌入式存储器。你能使用在DSP Builder模型中的MegaCore函数去生成一体化函数。除此之外,你还能在执行部分FPGA设计的过程中体验到更快的硬件模拟性能和更丰富的仪器使用环境。DSP Builder信号编译器可读取Simulink模型文件(.mdl),使用DSP Builder和MegaCore函数生成VHDL和Verilog HDL文件及Tcl脚本,以便进行合成,硬件执行和仿真。1.2.1

7、具有可编程逻辑的高速DSP可编程逻辑提供在专用数字信号处理器上的性能优点。可编程逻辑能够被看作为元件陈列,其中每一个能够被配置为复杂处理器例行程序。这些处理器例行程序则能够以串联连接在一起(以同样的方法,数字信号处理器能够执行他们),或他们能够并联连接。在并行情况下,他们提供标准数字信号处理器同时执行上百条指令操作的性能。有益于这种改进性能的算法包括具有正向误差校正(FEC),调制/解调和加密。1.3 设计流程当使用DSP Builder时,在MATLAB/Simulink软件上生成的设计模型来启动。在你已生成你的模型后,你能为综合和Quartus II编译输出VHDL文件,或生成VHDL或V

8、erilog HDL仿真文件。设计流程包括下列步骤:1. 使用MATLAB/Simulink软件生成由Simulink与DSP Builder组合的模型。2. 使用SignalCompiler模块分析你的设计。3. 在Simulink中,使用监视结果的Scope模块仿真模型。4. 运行SignalCompiler设置RTL仿真和综合。5. 执行RTL仿真。DSP Builder支持带有Tcl脚本ModelSim软件的自动化流程。你也能使用在其他仿真工具中的手动仿真生成的VHDL或Verilog HDL。6. 使用由DSP Builder SignalCompiler模块生成的输出文件来执行RT

9、L综合。对于带有Tcl脚本的Quartus II,Synthesis,Precision RTL Synthesis或LeonardoSpectrum软件,DSP Builder支持自动化综合流程。作为选择的,你能使用其它的综合工具,手工综合VHDL文件。7. 在Quartus II软件中编译你的设计。8. 下载到一个硬件开发板上并测试。图形1-1展示了使用DSP Builder设计的系统级设计流程。MATLABsimulinkCo-SimulinkWithHardwareIn the Loop综合(Quartus synthesis,Precision RTL Synthesis,Leona

10、rdoSpectrum,Or Syplify software)ATOM NetlistQuartus FitterModelSimSynthesisATOM NetlistQuartusFitterVHDLorVerilogHDL SimulatorProgrammerObject File(.pof)Hardware作为一个自动化设计流程,SignalCompler模块为在Quartus II,LeonardoSperctrum,Precision RTL,或Synplify软件生成VHDL和Tcl脚本,并在Quartus II软件中进行编译。Tcl脚本使你能在MATLAB和Simulin

11、k环境下自动地执行综合和编译过程。你同样能在其他软件工具中不使用Tcl脚本综合和仿真输出文件。另外,SignalCompiler模块可为VHDL或Verilog HDL仿真产生模型和一个实验平台。参考第3-20页的“综合,编译及仿真设计流程”,可以获得更多关于使用SignalCompiler控制DSP Builder设计流程的信息。符号说明符号代表意思1.,2.,3;a.,b.,c.表示索引目录中重要的部分;例如:程序的步骤列表表示索引目录中不重要的部分表示程序只由一步组成表示此条信息需要特别注意第二章 获取启动(5.01用户指南)在Altera可编程器件(PLD)上,数字信号处理系统设计既需

12、要高级算法,也需要硬件描述语言(HDL)开发工具。利用包括Altera Quartus 软件的VHDL和Verilog设计流程,组合算法开发模型和MathWorks 的MATLAB和Simulink系统设计工具的验证能力,Altera DSP Builder集成了这些工具。 借助于你在算法友好开发环境中生成的DSP设计硬件表示,DSP Builder缩短了设计周期,你能用Altera DSP Builde模块和Altera 兆核(MegaCore)函数联合已存在的MATLAB函数和Simulink模块,用DSP算法开发链接系统级设计和执行。DSP Builder允许系统算法和硬件设计师共享一个

13、共同的开发平台。你能使用在DSP Builder的一些模块来生成在Simulink采样时间中的建模的系统硬件执行。DSP Builder包含位和周期精确的Simulink模块它复盖基本的操作,例如:算术的或存储功能,采取了关键器件性能的优点,例如:建立PLL,DSP模块或嵌入存储器。利用你的DSP Builder模型的兆核函数,你能集成复杂的功能。根据执行你在FPGA上的设计,你也能体验较快速的性能和硬件二次模拟的丰富手段。DSP Builder Signal Compiler模块阅读Simulink模型文件(.mdl),.mdl文件是利用DSP Builder和MegaCore函数建立的,以

14、及Singal Compiler模块为综合,硬件执行和模拟生成了VHDL和VerilogHDL文件和Tcl(工具命令语言)脚本。一、DSP Builde软件要求下面是用DSP Builder模块生成HDL文件的软件要求: MATLAB 6.5版或更高版本 Simulink 5.0版或更高版本 Quartus 5.0 sp1版或更高版本DSP Builder提供了运用工具命令语言(Tcl)的自动设计流程、手动设计流程和Quartus 的本机合成,自动流程也支持: Synplify software 8.0版或更高版本 LeonardoSpectrum software 2004版或更高版本 Pr

15、ecision RTL synthesis software 2004c或更高版本 ModelSim simulator 6.0版或更高(包括 ModelSim-Altera,PE和SE)需要了解用户指南中提到的各种软件的信息,请参看各软件中的信息文档。设计流程当使用DSP Builder建立一个设计时,你用在MATLABSimulink软件中生成的一个模型来开始(启动),在你已经生成了你的模型后,你能输出用于合成和Quartus 编译的VHDL文件或生成VHDL、VerilogHDL仿真的文件。设计流程涉及下列步骤:1 利用MATLABSimulink软件生成一个联合了Simulink和DS

16、P Builder模块的模型。2 执行RTL(寄存器级)模拟,DSP Builder支持Modelsim软件带有Tcl脚本的自动流程,对于其它模拟工具上的手动仿真,你也能使用产生的VHDL或VerilogHDL。3 使用由DSP Builder Singal Compiler模块生成的输出文件,执行RTL综合。DSP Builder支持Quartus ,Synplify,Precision RTL Synthesis或带有Tcl脚本的LeonardoSpectrum software自动化综合流程,相对的,你也能使用其它的综合工具和综合。你能以任意的秩序执行步骤2和3。4 在Quartus 软

17、件上编译你的设计,图21说明了使用DSP Builder系统级设计的设计流程。MATLABsimulinkCo-SimulinkWithHardwareIn the Loop综合(Quartus synthesis,Precision RTL Synthesis,LeonardoSpectrum,Or Syplify software)ATOM NetlistQuartus FitterModelSimSynthesisATOM NetlistQuartusFitterVHDLorVerilogHDL SimulatorProgrammerObject File(.pof)Hardware图2

18、1图21备注:对自动设计编程,Singal Compiler模块为在Quartus 上综合生成了VHDL和Tcl脚本,并且能在Quartus 软件上编译。Tcl脚本让你从MATLAB和Simulink环境内自动地执行综合和编译。不懂Tcl脚本时,你也能在其它软件工具上综合模拟这些输出文件。除此之外,Singal Compiler模块生成VHDL或VerilogHDL模拟的模型和测试平台。安装DSP Builder下面的指示描述了怎样获取DSP Builder和安装在你的PC机上。获取DSP Builder:如果你有Internet访问,你能从主页中下载DSP Builder,为了通过网络下载D

19、SP Builder,执行以下步骤:1 在你的浏览器键入2 点击下载DSP Builder的链接。3 填写注册形式,点击Submit Request。4 阅读特许文件协议,打开检验框,点击Proceed to find step。5 跟随DSP Builder下载的指令,下载可执行的安装页,把它保存到你的硬盘。如果你不能访问网络,你可以从当地的Altera代理处购买软件。二、安装DSP Builder:为了在运行微软NT4.0,Windows2000,Windows XP上安装DSP Builder,执行下面的步骤: 在安装DSP Builder之前,Altera推荐你先安装MATLAB和Si

20、mulink和Quartus 软件。1 如果下面的软件正在你的PC机上运行,请关闭它们。 Quartus LeonardoSpectrum Syplify software MATLAB和Simulink ModelSim Precision RTL Synthesis2 选择RUN(Windows 开始菜单)3 键入pathDSPBuilder-5.0.0.exe,<path>是你下载的安装文件的存储路径。4 点击OK,DSP Builder v5.0-InstallShield Wizard对话框出现,跟随着在线指示完成安装。 你不能同时在Simulink上使用多个DSP Bu

21、ilder的版本。 安装向导移去任何先前安装的版本,为了保留和转换旧版本,请参看122页上的“在我的计算机上,我能有多个版本的DSP Builder吗”。在安装以后,在MATLAB上执行以下的步骤:1 启动MATLAB/Simulink软件。2 利用在MATLAB提示符下的cd命令,把目录改变到DSPBuilder已安装的目录上。3 改变到DSPBuilder已安装的路径AltLib subdirectory。DSPBuilder默认的安装路径是C:alteraDSP Builder。4 在MATLAB提示符下键入:Steup_dspbuilder回车运行这个脚本。 对于说明路径的信息,参见P

22、1213页的“Specifying Precision RTL,LeonardoSpectrum,Synplify& Quartus singal compiler”路径信息。在安装完DSP Builder后,在MATLAB软件上,通过执行下面的步骤来观看DSP Builder库:1 启动MATLAB软件。2 点击MATLABSTA RT按钮,在左下角。3 选择Simulink,然后选Library Browser,altera DSP Builder文件夹出现在Simulink Library Browser窗口。DSP Builder目录结构:DSP Builde把程序拷贝安装到图

23、22所示的目录上。图22. DSP Builder目录结构:DSP Builder Altlib 包括DSP Builder文件,包括需要在Simulink环境内可MegaCore向导文件。DesignExamples 包含一大类使用DSP Builder模块的举例设计文件。Doc包含DSP Builder文件,包括DSP Builder用户指南,DSP Builder Reference Manual和每个DSP Builder模块的在线帮助文件。MegaCoreLib 包含需要使用MegaCore函数的DSP Builder系统文件。MegaCoreSimLib包含由DSP Builder

24、一起提供的由MegaCore函数和参数化模块函数库所使用的仿真文件。设置特许文件:在使用DSP Builde之前,你必须需要来自在你为DSP Builde设置特许文件时,你必须已经安装了Quartus 软件,且已安装在你的PC机上,同时已存在特许文件设置。为了安装你的license,你既能增补特许到你的license.dat文件,你也可以在Quartus 软件上说明分离的DSP Builde特许文件。把license附加到你的license.dat文件上:为了安装你的特许文件,执行以下步骤:1 关闭下列软件Quartus LeonardoSpectrumSyplify softwareMATL

25、AB和SimulinkModelSimPrecision RTL Synthesis2在文本编辑器打开DSP Builde特许文件。该文件应该包含一个FEATURE行,跨越两行。3在文本编辑器上打开你的Quartus license.dat文件。4从DSP Builde特许文件中拷贝FEATURE。并把它附加到Quartus 特许文件中。不能从Quartus 特许文件中删除任何FEATURE行。5保存Quartus 特许文件。当使用编辑器例如Work或Notepad时,确保在保存后没有其它多余的后缀(例如:license.dat.txt或license.dat.doc)2DSPBuilder

26、指南2.1引言:这个指南使用了幅度调制设计举例singen.mdl,来证明DSP Builder设计流程。幅度调制设计举例是一个调制器,它有正弦波发生器,求积乘法器和一个延时器。在该模型中的每一个模块是可参数化的。当你双击该模型里模块时,对话框显示出来,在对话框中,你能键入该模块的参数。点击这些对话框中的help按钮,观察指定模块中的在线帮助。在这个指南中的说明假定: 你正使用PC机运行Windows2000或Windows XP。 你熟悉MATLAB,Simulink,LeonardoSpectrum,Quartus和ModelSim软件,并且已在你的PC机的默位置安装了该软件。 在这个指南

27、中的说明假定你有Simulink软件基本知识。对于使用Simulink软件的信息,参见Simulink Help。这个指南包括下列部分: 在P21页的“使用Altera提供的模型”。 在P22页的“生成幅度调制模型”。 在P220页的“执行RTL仿真”。 在P223页的“综合编译该设计”。使用在DSP Builder DesignExamples目录中或你能自己生成幅度调制模型,你能执行这个指南。2.2使用Altera提供的模型如果你要使用Altera提供的文件来代替你自己生成的模型,该文件singen.mdl是放置在DSP Builder imstall pathDesignExamples

28、TutorialGettingstartedsinMdl目录中。· 为了从Demo插页中访问所完成的指南文件,在MATLAB命令提示符下键入demo。如果你没有在默认的位置安装DSP Builder,你必须在综合和编译该设计之前,定义你的工件目录。为了定义你的工作目录,执行下面的步骤:1 打开singen.mdl模型。2 双击SignalCompiler模块。在出现的对话框中,点击Analyze。3 点击singen.mdl相邻的按钮。4 浏览那个已安装的singen.mdl模型文件的目录,DSP Builder imstall pathDesignExamplesTutorialG

29、ettingstartedsinMdl。5 选择ModelFile,singen.mdl并点击Open。跳到220页的“performing RTL Simulation”开始使用该模型。2.3生成幅度调制模型为了生成你自己的幅度调制模型,跟着下面部分的说明。图21表示了所完成的设计。图21幅度调制设计举例。在Simulink模型中一定不要含有汉字,并且其保存路径也不要有汉字。图22表示来自所完成设计的示波器显示2.3.1生成新的模型为了生成新的模型,执行以下步骤:1 启动MATLAB软件。2 选择New>model命令(File菜单)生成新的模型文件。3 新的模型窗口选择save(Fi

30、le菜单)。4 浏览你要保存文件的目录。这个目录变成了你的工作目录。这个指南使用了<DSP Builder install path> DesignExamples Tutorial GettingstartedsinMdl my_sinmdl。5 把文件名键入到File name框中。这个指南使用了singen.mdl名。6 点击save。7 点击左下角的MATLAB start按钮(这个代替了MATLAB软件早期版本的Launch Pad)。· 你也能用工具条图标来打开Simulink。8 选择Simulink,然后选择Library Browser。下面部分描述了怎

31、样添加模块到你的模型,并在Simulink上仿真该模型。2.3.2添加sin wave模块。执行下面的步骤添加正弦波模块:1 在SimulinkLibrary Browser中,点击Simulink和Sources库观看sources库的模块。2 把Sine Wave模块拖到你的模型中(singen窗口)。3 双击你的模型中的Sine Wave模块,显示Blick Parameters对话框。4 设置Sine Wave模块参数如下: Sine Type : sample based. Time : use simulation time. Amplitude : 215-1 Bias : 0

32、Samples per period : 80 Number of offset examples : 0 Sample time : 25e-9 Interpret vector parameters as I-D : Turm on 所完成的对话框如图23所示点击OK。要对于你能怎样计算频率的信息参见P35面的“Frequency Design Rule”中的因素。图232.3.3添加SinIN模块执行下列步骤添加SinIN模块1 SimulinkLibrary Browser中展开Altera DSP Builder文件夹,显示DSP Builder库(图24)。· 对于该指南

33、的剩余部分,象你将从这个文件夹中将添加多个模块那样,离开展开的altera DSP Builder文件夹。图242 选择IOBus库3 把Input模块从Simulink Library Browser拖到你的模型中。把该模块放置到sine wave模块的右边。· 当模块被选定后,你能使用上,下,左,右箭头键来调整该模块的位置。如果你没有把握怎样放置模块或怎样拖连接线,参见22面图21所示的完备设计。4 点击你的模型中的模块图标底下的文本Input,清除文本输入Input,键入文本SinIN,改变模块实例的名字。5 双击你的模型中的SinIN模块,显示Block parameters

34、对话框。6 设置sinIn模块参数如下: Bus Type : signed Integer number of bits.:16图25所示为它完成的对话框图257 点击OK8 用按住左键,并在这两个模块之间拖光标,从Sine Wave模块的右边拖一条连接线到SinIn模块的左边。· 相对的,你能选择一个模块,按住ctrl键,点击目的模块到自动的在这两个模块之间产生一个连接。2.3.4 添加Delay模块执行下列步骤添加Delay模块:1 从Altera DSP Builder文件夹在Simulink Library Browse中选择Storage库。2 把Delay模块报到你的模

35、型中,并把它放置到SinIn模块的右边。3 双击你的模型中的Delay模块,显示Block parameters对话框。4 把Delay模块参数设置如下: Depth : 1 Use Control Inputs : Turned off Clock Phase Selection : 01已完成的对话框如图26所示图265 点击OK6 从SinIn模块的右边拖条线到Delay模块的左边。2.3.5 添加SinDelay模块执行下面的步骤添加SinDelay模块:1 从Simulink Library Browsr的 Altera DSP Builder文件夹中选择IOBus库。2 把Outp

36、ut模块拖到你的模型中,把它放置到Delay模块的右边。3 在你的模块中,点击该模块图标下面的文本Output,清除文本,并写入文本SinDelay来改变该模块实例名。4 在你的模型上,双击SinDelay模块,以显示Block parameters对话框。5 象下面那样设置SinDelay模块参数。 Bus Type : signed Integer number of bits. : 16已完成的对话框如呼27所示图276 点击OK。7 从Delay模块的右边拖一条连接线到SinDelay模块的左边。2.3.6 添加Mux模块执行下面的步骤添加Mux模块:1从Simulink Librar

37、y Browsr的 Altera DSP Builder文件夹中选择Simulink Signal Routing库。2把Mux模块拖到你的模型中,把它放置到SinDlay模块的右边。3在你的模型上,双击Mux模块,以显示Block parameters对话框。4象下面那样设置Mux模块参数。 Number of inputs : 2 Display Options : bar.已完成的对话框如呼28所示图285 点击OK。6 从Mux模块的左边拖一条连接线到SinDelay模块的右边。7 从Mux模块的左边的顶上拖一条连接线到sinIn和Delay模块之间的线上。2.3.7 添加Random

38、 Number模块执行下面的步骤添加噪声信号模块:1 在SimulinkLibrary Browser中,选择Simulink Source库。2 把Random Number模块拖到你的模型中并把它放置在sine wave模块下面。3 双击你的模型中的Random Number模块,显示Blick Parameters对话框。4 设置Random Number模块参数如下: Mean : 0 Variance : 1. Initial seed : 0 Sample time : 25e-9 Interpret vector parameters as 1-D : Turn on所完成的对话

39、框如图29所示。图295 点击OK。2.3.8 添加Noise模块执行下面的步骤添加Noise模块:1 从Simulink Library Browsr的 Altera DSP Builder文件夹中选择IOBus库。2 把Input模块拖到你的模型中,把它放置到Randow Number模块的右边。3 在你的模块中,点击该模块图标下面的文本Input,清除文本Input,并写入文本Noise来改变该模块实例名。4 在你的模型上,双击Noise模块,以显示Block parameters对话框。5 选择来自Bus Type列表中的Single Bit选项。· 当你选择新的总线时,对话

40、框选项改变,仅仅显示相关的选项。已完成的对话框如呼210所示图2106 点击OK。7 从Randow Number模块的右边拖一条连接线到Noise模块的左边。2.3.9 添加BusBuild模块 BusBuild模块把位点线转换为有符号点线。执行下面的步骤添加BusBuild模块:1从Simulink Library Browsr的 Altera DSP Builder文件夹中选择IOBus库。2把BusBuild模块拖到你的模型中,把它放置到Noise模块的右边。3在你的模型上,双击BusBuild模块,以显示Block parameters对话框。4象下面那样设置BusBuild模块参数

41、。 Bus Type : Signed Integer Output number of bits.:2已完成的对话框如呼211所示图2115点击OK。6从Noise模块的右边拖一条连接线到BusBuild模块的左边。2.3.10 添加GND模块执行下面的步骤添加GND模块:1Simulink Library Browsr的 Altera DSP Builder文件夹中选择IOBus库。2把GND模块拖到你的模型中,反它放置在Noise模块的底下。3从GND模块的右边画一条连接线到BusBuild模块的左底部2.3.11 添加Product模块执行下面的步骤添加product模块:1从Simu

42、link Library Browsr的 Altera DSP Builder文件夹中选择Arithmetic库。2把product模块拖到你的模型中,把它放置到BusBuild模块的右边。并稍微在BusBuild上面。3在你的模型上,双击product模块,以显示Block parameters对话框。4象下面那样设置Mux模块参数。 Pipeline : 0 Use LPM : Turned Off Use Dedicated Multiplier Circuitry :Turned Off已完成的对话框如图212所示图2128 点击OK。9 从product模块的左边顶部拖一条连接线到D

43、elay和SinDlay模块的之间。2.3.12 添加StreamMod模块执行下面的步骤添加StreamMod模块:1 从Simulink Library Browsr的 Altera DSP Builder文件夹中选择IOBus库。2把Output模块拖到你的模型中,把它放置到Product模块的右边。3在你的模块中,点击该模块图标下面的文本Output,清除文本Output,并写入文本StreamMod来改变该模块实例名。4 在你的模型上,双击StreamMod模块,以显示Block parameters对话框。5 象下面那样设置StreamMod模块参数。 Bus Type : sig

44、ned Integer number of bits. : 19已完成的对话框如呼213所示图2136 点击OK。7 从Product模块的右边拖一条连接线到StreamMod模块的左边。2.3.13 添加Scope模块执行下列步骤添加Scope模块:1 在Simulink Library Browsr中选择Simulink Sinks库。2 把Scope模块拖到你的模型中,并把它放置到StreamMod模块的右边。3 双击Scope模块。4 点击Parameters图标,以显示Scope Parameters对话框。5 把Scope参数设置如下: Number of axes : 3 Tim

45、e Range : auto Tick Labels : bottom axis only Sampling : Decimation 1 图214说明了你已设置好的Scope Parameters对话框。图2146 点击OK。7 关闭Scope。8 从MUX模块右边到Scope的左边画一条连接线。9 从StreamMod模块的右边画一条连接线到Scope的左边。10 从BusBuild模块的右边画一条连接线到Scope的左边。11 从Product模块的左边底部画一条连接线到BusBuild和Scope模块之间的线上。2.3.14 添加Signal compile执行下列步骤添加Signal

46、 compile模块:1 从Simulink Library Browsr的 Altera DSP Builder文件夹中选择AltLab库。2 拖Signal compile模块到你的模型。3 双击你的模型中的SignalCompile模块。SignalCompile的Analyz页面对话框出现,在文本消息窗口有顶级模型设计名singen(图215)。图2154 点击Analyze。5 显示SignalCompile settings对话框,保留所有的默认值。(图216)图2166 点击OK。l 在后面的步骤中,你要产生Signal compile参数设置的变化,以执行综合,编译和仿真。7

47、选择Save(File菜单),保存该模型。2.3.15 在Simulink中模拟你的模型l 在模拟你的设计之前,检验确信它已画为图21所示。为了在Simulink软件上模拟你的模型,执行下列步骤:1 选择Configuation parameters(Simulation菜单)。2 在Stop time对话框键入0.000004,显示200采样。(图217)图2173 点击OK。4 用Start(Simulation菜单)或按Ctrl+T,启动模拟。5 双击Scope模块,观看结果。6 点击双目镜图标到自动刻度波形。图218说明了有刻度的波形。2.4 执行RTL模拟:当你打开SignalCom

48、piler里的Generate Stimuli for VHDL Testbench选项时,SignalCompiler为你的模型创建一个VHDL测试平台和tcl脚本。你既能使用带ModelSim软件的文件,也能使用在另一个模拟工具中的测试平台。对于怎样执行你的DSP Builder模型的Verilog HDL模拟,参见在DSP Builder Refernce Manual中Altlab Library章节的SignalCompiler Bolck部分。为了生成幅度调制设计举例中的模拟文件,按以下步骤执行:1 在你的singen.mdl模型中双击SignalCompiler模块。2 在分析页

49、点击Analyze。3 点击Settings页面的1 Convert MDL to VHDL。4 点击右箭头滚动标签页,显出Testbench标签页。5 点击Testbench标签页。6 选中Generate Stimuli for VHDL Testbench。7 点击OK。8 选择Simulation菜单中的Start,运行模拟,创建输入激励文件。SignalCompiler生成模拟脚本tb_singen.tcl,导入了Simulink输入激励的VHDL测试平台tb_singen.vhd。2.4.1 在ModelSim中模拟你的模型你能使用任何Altera支持的VHDL模拟起来执行这个设计

50、举例的VHDL模拟。下面的步骤使用由SignalCompiler生成的ModelSim Tcl脚本。1 启动ModelSim软件。2 在ModelSim中选择Change Directory(File菜单)。3 选择Execute Macro(Tool菜单)。查找tb_singen.tcl,点击Open。模拟结果在ModelSim波形窗口中像数字波形一样显示。Testbench用sclrp输入信号中的脉冲初始化全部设计寄存器。图2204 右击波形中的信号名,选择Properties,把sinin,sindelay和streammod信号格式改为模拟。在Format选项页中,选择Analog并指

51、定height(高)为50,scale(刻度)为0.001。5 从ModelSim波形窗口弹出菜单选择Zoom Full。模拟结果显示为像图2-21一样的模拟波形。图221你现在准备执行综合和Quartus II编译。2.5 综合与编译设计Altera为DSP Builder提供两种综合和编译流程:自动和手动。如果此DSP Builder设计是顶级设计,你可以使用任何一种带有由不工作的SignalCompiler创建的相关工具的Tcl脚本的流程。如果此DSP Builder设计不是顶级设计,但是代替非DSP Builder硬件设计的层次模块,你不能使用自动的流程、综合或由SignalCompi

52、ler生成的编译脚本。你必须手动在DSP Builder外创建的顶级设计中创建编译设置,包括添加所有DSP Builder VHDL 文件到综合工程中。在表2-1中所示的以下综合工具中,在由SignalCompiler模块生成的Tcl文件的File部分,上述信息是有效的。表2-1 由SignalCompiler为综合生成的Tcl脚本综合工具Tcl文件名Quartus II<design name>_quartus.tcl (1)Synplify<design name>_spl.tcl (1)LeonardoSpectrum<design name>_leo

53、.tcl (1)Precision RTL<design name>_precision.tcl (1)表2-1的备注:(1) <design name>是DSP Builder模型的名字。虽然SignalCompiler模块为模型生成VHDL和Verilog HDL文件,但是仅有VHDL文件能在综合中使用。2.5.1 自动流程(在Simulink内)自动流程允许你在Matlab/Simulink环境中使用SignalCompiler模块控制整个综合和编译流程。用自动流程,SignalCompiler模块输出VHDL和Verilog HDL文件,以及Tcl脚本;在Qua

54、rtus II、Synplify、LeonardoSpectrum和Precision RTL软件中执行综合;在Quartus II中执行编译;同时,可选的,把设计下载到一块DSP开发板中。你不需要在这些软件中添加额外的设置,或分别运行他们。自动流程帮助你快速的进行原形设计。2.5.2 手动流程(在Simulink外)用手动流程,你使用SignalCompiler输出VHDL和Verilog HDL文件,及Tcl脚本。然而,你不能用它执行综合或Quartus II编译。在SignalCompiler生成VHDL文件后,你可以用Quartus II、Synplify、LeonardoSpectrum和Precision RTL(或其他Altera支持的综合工具)执行综合,然后再Quartus II中执行编译。另外,如果你想要指定你自己的综合或编译设置,你应该使用手动流程。当创建输出文件时,SignalCompiler映射每个在Ma

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论