洗衣机控制器设计课程设计说明书_第1页
洗衣机控制器设计课程设计说明书_第2页
洗衣机控制器设计课程设计说明书_第3页
已阅读5页,还剩8页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、长沙学院课程设计说明书题目洗衣机控制器的设计系(部)电子与通信工程系专业(班级)电气工程及其自动化 2班姓名赵健康学号 2011024212指导教师谢明华起止日期 2013 12-16 至 2013-12-31课题名称洗衣机控制器的设计设 计 内 容 及 要 求(1)课题内容:1、设计一个电子定时器,定时180秒,在这3分钟之内控制洗衣机作如下运转:定时启动 正转20秒 暂停10秒 反转20秒 暂停10秒 定时未到 回到 正转20秒 暂停10秒,疋时到则停止;2、疋时到,则停机发出1秒钟的音响信号;3、用数码管显示当前洗衣机的动作及该动作运行的时间。正转、反转及暂停 动作分别用H、F和P来表示

2、。(2)主要任务:完成该系统的硬件和软件的设计,并制作出实物装置,调试 好后并能实际运用(指导教师提供制作所需的器件),最后就课程设计本身提 交一篇课程设计说明书。设 计 工 作 量1、VHDL语言程序设计;2、波形仿真;3、在实验装置上进行硬件测试,并进行演示;4、提交一份完整的课程设计说明书,包括设计原理、程序设计、程序分析、 仿真分析、硬件测试、调试过程,参考文献、设计总结等进度安排起止日期(或时间量)设计内容(或预期目标)备注第1天课题介绍,答疑,收集材料第2天设计方案论证第3天进一步讨论方案,对设计方案进行必要的 修正,方案确定后开始进行VHDL语言程序 设计第4天设计VHDL语言程

3、序第59天在实验装置上进行硬件测试,对VHDL语言 程序进行必要的修正,并进行演示第10天编写设计说明书EDA技术课程设计任务书系(部):电子与通信工程系专业:电气工程及其自动化指导教师:谢明华教研室意见系(部)主管领导意见年 月曰年 月曰长沙学院课程设计鉴定表姓名赵健康学号2011024212专业电气工程及其自动化班级电气2 班设计题目洗衣机控制器的设计指导教师谢明华指导教师意见:评定等级:教师签名:日期:答辩小组意见:评定等级:答辩小组长签名:日期:教研室意见:教研室主任签名:日期:系(部)意见:系主任签名:日期:说明课程设计成绩分“优秀”、“良好”、“及格”、“不及格"四类;目

4、录设计方案 5设计原理及其实现过程 52.1 设计总原理 52.2 设计框图 62.3 模块设计和相应模块 62。 3.1 预制时间和减法计数器模块 6数码管动态扫描及状态显示模块 72.3.3 分频模块 9三 总电路仿真波形及引脚分配 103。 1 仿真波形及分析 103。2 引脚分配 11四 测试结果 12五 课程设计心得 12六 参考文献 13设计方案本定时器实际上包含两级定时的概念,一是总洗涤过程的定时,而是在总洗涤过程中又包含电机的正转、反转和暂停三种定时,并且这三种定时是反复循环直至所设定的总定时时间到 位置当总定时时间在 020min以内设定一个书之后 T为高电平1,然后用倒计时

5、的方法每 分钟减1直至T变为0.在此期间,若Z仁Z2=1 ,实现正转;若Z仁Z2=0 ,实现暂停;若Z1=1 , Z2=0,实现反转实现定时的方法很多,比如采用单稳电路实现定时,又如将定时初值预置 到计数器中,使计数器运行在减计数状态,当减到全零时,则定时时间到如图所示的电路原理框图就是采用这种方法实现的。由秒脉冲发生器产生的时钟信号经60分频后,得到分脉冲信号洗涤定时的时间的初值先通过拨盘或数码开关设置到洗涤时间计数器中,每当分脉冲到来计数器减1,直至减到定时时间到为止。运行中间,剩余时间经译码后在数码管上进行显 示。二设计原理及其实现过程2。1设计总原理洗衣机控制器的设计主要是定时器的设计

6、。由一片FPGA (Field Programmable GateArray )和外围电路构成了电器控制部分.FPGA接收键盘的控制命令,控制洗衣机的进水、排水、水位和洗衣机的工作状态、并控制显示工作状态以及设定直流电机速度、正反转控制、 制动控制、起停控制和运动状态控制。对FPGA芯片的编程采用模块化的VHDL (硬件描述语言)进行设计,设计分为三层实现,顶层实现整个芯片的功能。顶层和中间层多数是由VHDL的元件例化语句实现。中间层由无刷直流电机控制、运行模式选择、洗涤模式选择、 定时器、显示控制、键盘扫描以及对直流电机控制板进行速度设定、正反转控制、启停控制等模块组成,它们分别调用底层模块

7、2。2设计框图r时到总设计框翩2。3模块设计和相应模块预制时间和减法计数器模块由于洗衣机有工作时间,必须要一模块来控制它的工作时间范围,所以我们设计了一个减法计数器模块,当洗衣机开始工作后,减法计数器即会实现减数功能,直到时间减到零,洗衣机便停止工作。预制时间和减法计数器模块程序library ieee;;luse ieee std_logic_unsigned.all;en tity count isPort( clk,en, ld:in stdogic);End en tity;Architecture one of count issignal c1,c2, c3,a,a1, a2,a3

8、,a4,a5,a6: integer range 15 downto;0sig nal b:in teger range 5 dow nto 0;signal clk1,clk2,k: std_logic; process(clk1, ld,en) beg inif en=' 1' the nif ( clk1' eve nt and clk仁'1')thenif k= ' 1' thenif c1=0 and c2=0 and c3=0 thena1<=c1;a2<=c2; a3<=c3;elsec1<=c1-1

9、;if c1=0 then c2=c2-1; c1<=9;if c2=0 then c3<=c31;c2=9;end if; end if;a1<=c1; a2<=c2;a3<=c3;end if;if a3=1 and (a25) then a4<=10; a5<=15;a6<=15;elsif a3=1 and (a2>4)then a4=15; a5<=15; a6 =12;elsif a3=1 and (a2>2)then a4<=15; a5 =14; a6<=15;elsif a3=1 and (a2&g

10、t;1)then a4=15; a5=15; a6=12;elsif a3=1 and( a2=0 or a2=1) then a4 =10; a5=15; a6<=15;elsif a3=0 and( a28)then a4=15; a5<=15; a6=12;elsif a3=0 and (a2>6)then a4=15; a5 =14; a6<=15;elsif a3=0 and (a25)then a4<=15; a5=15; a6 =12;elsif a3=0 and(a23)then a4=10; a5=15; a6<=15;elsif a3=0

11、 and(a22)then a4<=15; a5<=15; a6<=12;elsif a3=0 and(a20) then a4<=15; a5 =14; a6<=15;elsif a3=0 and (a2=0) then a4<=15; a5<=15; a6=12;end if;end if;end if;else k<=ld ;c1<=9; c2<=7;c3 =end if;end process ;end count; 本文为互联网收集,请勿用作商业用途2。3。 2 数码管动态扫描及状态显示模块此模块用于不同工作状态时,数码管显

12、示相应的H、F和P来表示洗衣机正转、反转和暂停动作,并实现数码管的动态扫描。数码管动态扫描及状态显示模块程序library ieee;use ieee。 std_logic_1164.all;use ieee std_logic_unsigned all ;entity zhuangtai isPort( led_selout:out std_logic_vector(7 downto 0) led7s:out std_logic_vector(6 downto 0);End entity;Architecture one of zhuangtai issignal clk2:std_logi

13、c;process(clk2)variable s:integer range 6 downto 0;beginthenif (clk2'event and clk2=' 1') s:=s+1;if s=6 then s: =0;end if;b=s;end if;end process p2;process(b)begincase b iswhen 0=>a<=a1;when 1=>a =a2;when 2=>a<=a3;when 3=>a<=a4;when 4=a=a5;when 5=>a =a6; end case;

14、end process ;process(a)begincase a iswhen 0=led7s="1000000”;-0when 1=led7s<="1111001"; 1when 2=>led7s<="0100100"-2when 3=>led7s="0110000"; 3when 4=>led7s<=” 0011001”;-4when 5=led7s<="0010010”; -5 when 6=led7s="0000010" 6 when 7=l

15、ed7s<=” 1111000";- 7 when 8=>led7s ="0000000";-8 when 9=led7s=”0010000”;-9 when 10= led7s<=”0001001”;-H when 12=led7s=”0001100" -p when 14=>led7s<=” 0001110”;-F when 15=>led7s<=” 1111111”;-15 when others=>null; end case; end process ; process(b) begin case

16、 b is when 0=led_selout<="00000001”; -0 when 1=>led_selout<=” 00000010";-1 when 2=led_selout<="00000100”; 2 when 3=>led_selout<="00001000"-3 when 4=led_selout=”00010000" 4 when 5=led_selout<=” 00100000"-5 when others= null ; end case;end proces

17、s ; End;2。3。3 分频模块 此模块是为了获得控制洗衣机运转时所需要的不同的频率,以使得洗衣机能够在不同状 态时正常运转。分频模块程序 library ieee;use ieee.std_logic_1164 all;use ieee std_logic_unsigned all;entity fenpin is Port( clk:in std_logic); End entity;Architecture one of fenpin is signal clk1,clk2:std_logic; process(clk)variable s:integer range 10000 d

18、ownto ;0 beginif (clk'event and clk='1' ) then S:=s+1;if s5000 then s:=0;elsif s<=2 thenclk2='0';elseclk2='1'; end if;end if; end process ; process(clk)variable s:integer range 25000000 downto 0; beginif (clk'event and clk='1') then S:=s+1;if s>25000000

19、then s:=0;elsif s=2 thenclk1<='0';elseclk1='1'; end if;end if; end process ; end;三 总电路仿真波形及引脚分配3 。 1 仿真波形及分析A1 IPS. ni.EED aZAU p32D rmjidq.3 XEqn.p >.e口 UlG-KI £ CL E逝AM:门ni1IMA Vj& i1.dA 1Jt>3iM 4II也- &a i»- 対A 0H'i “A H唧:0 T-A 11-3X Q31A* LDL OJA电FL

20、l“硼* c4厂工】C» 12-勺A2 L3-B-Jb BO LqA 12 L541A 12 LB- 珂M |LT-.21A W IB叮x a0 LBL SA 总电路仿真波形洗衣机接通电源,按Id、en置为高电平洗衣机开始工作,当时钟第一个上升沿到达时(正转功能)为高电平维持20s以后变为低电平而(暂停功能)随着时钟上升沿的到来变为 高电平维持10s变为低电平,然后(反转功能)开始随着时钟上升沿的到来变为高电平工作 维持20s后变为低电平,再停止置高电平,接下来电路一直重复上述工作,直到定时器计数结束。3。2引脚分配端口号引脚号网络名CLKPIN_J3ENPIN_M3FPGA_M1L

21、DPIN_M4FPGA_M2LED_SELOUT0PIN_G4E_7SEG_A1LED_SEL0UPIN_G3E_7SEG_A2LED_SEL0UT2PIN_E2E_7SEG_A3LED_SEL0UT3PIN_F2E_7SEG_A4LED_SEL0UT4PIN_F1E_7SEG_A5LED_SEL0UT5PIN_G2E_7SEG_A6LED_SEL0UT6PIN_G1E_7SEG_A7LED_SEL0UT7PIN_H2E_7SEG_A8LEDS:0:PIN_L3E_7SEG_ALEDS:1:PIN_L4E_7SEG_BLEDS:2:PIN_H3E_7SEG_CLEDS3PIN_H4E_7SEG_DLEDS4PIN_H1E_7SEG_ELEDS : 5PIN_L2E_7SEG_FLEDS : 6PIN_K4E_7SEG_G四测试结果电路设计完成以后, 按照预定设计,执行相应操作,三只数码管按照设定时间规律间断

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论