![EDA技术课程设计报告_第1页](http://file3.renrendoc.com/fileroot_temp3/2021-12/12/76c0e8c1-0efc-44ef-b409-ba5a86c5f0ce/76c0e8c1-0efc-44ef-b409-ba5a86c5f0ce1.gif)
![EDA技术课程设计报告_第2页](http://file3.renrendoc.com/fileroot_temp3/2021-12/12/76c0e8c1-0efc-44ef-b409-ba5a86c5f0ce/76c0e8c1-0efc-44ef-b409-ba5a86c5f0ce2.gif)
![EDA技术课程设计报告_第3页](http://file3.renrendoc.com/fileroot_temp3/2021-12/12/76c0e8c1-0efc-44ef-b409-ba5a86c5f0ce/76c0e8c1-0efc-44ef-b409-ba5a86c5f0ce3.gif)
![EDA技术课程设计报告_第4页](http://file3.renrendoc.com/fileroot_temp3/2021-12/12/76c0e8c1-0efc-44ef-b409-ba5a86c5f0ce/76c0e8c1-0efc-44ef-b409-ba5a86c5f0ce4.gif)
![EDA技术课程设计报告_第5页](http://file3.renrendoc.com/fileroot_temp3/2021-12/12/76c0e8c1-0efc-44ef-b409-ba5a86c5f0ce/76c0e8c1-0efc-44ef-b409-ba5a86c5f0ce5.gif)
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、EDA技术课程设计数 字 系 统 设 计 题目:LED汉字滚动显示器设计学院:物理电气信息学院专业:通信工程姓名:海燕学号:12010245375【摘要】本文主要讨论了使用EDA工具设计汉字滚动显示器的技术问题。文中首先描述了基于现场可编程门阵列(FPGA)的硬件电路主要研究基于VHDL的Led点阵汉字滚动显示。以及点阵显示汉字的原理;然后在单个16X16 LED发光二极管点阵上滚动汉字的原理;最后给出了描述其功能的VHDL语言程序设计方法。通过编程、调试、仿真、下载正确地实现了汉字滚动显示扫描结果,其硬件系统的实验验证也获得了与软件模拟仿真结论相吻合的结果。最后对使用EDA工具软件加工被显示
2、数据文件的方法进行了讨论。【关键词】虚拟器件 VHDL语言及应用 EDA技术 电路设计 LED汉字滚动显示采用传统方法设计的汉字滚动显示器,通常需要使用单片机、存储器和制约逻辑电路来进行PCB(印制电路板)板级的系统集成。尽管这种方案有单片机软件的支持较为灵活,但是由于受硬件资源的限制,未来对设计的变更和升级,总是难以避免要付出较多研发经费和较长投放市场周期的代价。 随着电子设计自动化(EDA)技术的进展,基于可编程ASIC器件的数字电子系统设计的完整方案越来越受到人们的重视,并且以EDA技术为核心、的能在可编程ASIC器件上进行系统芯片集成的新设计方法,也正在快速地取代基于PCB板的传统设计
3、方式。一.FPGA设计的特点FPGA 通常被认为是ASIC 实现的一种替代手段. 一般ASIC 包括三种, 既全定制、半定制(含标准单元和门阵列) 以及可编程器件。对于前两种, 需要支付不可重复使用的工程费用NRE (Non recurring Engineering) , 主要用于芯片的流片、中测、分析的工程开销, 一次费用一般在1 万至数万美元以上。如果一次不成功、返工、甚至多次返工,NRE 费用将要上升。成本高、风险大, 而通常对每个ASIC 品种的需求量往往不大,NRE 费用分摊到每个产品上价太高, 用户无法接受。而对于可编程器件PLD (Programmable Logic Devi
4、ce) 正是可以解决上述问题的新型ASIC, PLD 以其操作灵活、使用方便、开发迅速、投资风险小等突出优点, 特别适合于产品开发初期、科研样品研制或小批量的产品. FPGA 是一种新型的PLD, 其除了具有PLD 的优点外, 其规模比一般的PLD 的规模大。目前,Xilinx 推出的XC4025 可以达到25000 门的规模,Altera 公司的FLEX10K100 系列芯片可达到十万门的规模,完全可以满足用户的一般设计需要。 FPGA 的主要特点是: 寄存器数目多, 采用查找表计数,适合时序逻辑设计。 但是互连复杂, 由于互连采用开关矩阵,因而使得延时估计往往不十分准
5、确。 FPGA 也有其自身的局限性, 其一就是器件规模的限制,其二就是单元延迟比较大。 所以, 在设计者选定某一FPGA器件后, 要求设计者对器件的结构、性能作深入的了解, 在体系结构设计时, 就必须考虑到器件本身的结构及性能, 尽可能使设计的结构满足器件本身的要求. 这样就增加了设计的难度。 离开对FPGA 结构的详细了解, 设计人员就不可能优化设计。因而设计人员必须了解FPGA 器件的特性和限制, 熟悉FPGA 的结构。 在了解FPGA 结构特点的基础上, 就可以利用VHDL 语言描写出高效的电路描述实现性能优化的电路。二系
6、统设计原理16×16的扫描LED点阵只要其对应的X、Y轴顺向偏压,即可使LED发亮。例如如果想使左上角LED点亮,则Y0=1,X0=0即可。应用时限流电阻可以放在X轴或Y轴。16个共阴极输出端口,每个端口对应16个共阴极。本实验就是要通过CPLD芯片产生读时序,将字形 从寄存器中读出,然后产生写时序,写入16×16的点阵,使其扫描显示输出。为了显示整个汉字,首先分布好汉字的排列,以行给汉字信息;然后以128HZ的时序逐个点亮每一行,即每行逐一加高电平,根据人眼的视觉残留特性,使之形成整个汉字的显示。LED点阵每个点都有一个红色的发光二极管。点阵内的二极管间的连接都是行共阳,
7、列共阴。本实验采用共阴,当二极管的共阳极为高电平,共阴极为低电平时,所接点发光;反之处于截止状态,不放光。本实验采取行扫描方式,用列给文字信息,利用周期为1s的脉冲来控制所显示的字。本设计由32进制计数器(COUNT32),16进制计数器(COUNT16),行驱动(LYH)和列驱动(BBLK)组成。图2-5 16×16点阵原理图三.总体设计方案方案一:本设计所使用的16×16的点阵,EDA实验箱上有其接口电路,列选信号为SEL0,SEL1,SEL2,SEL3,经4线16线译码器输出16列,从左起为第一列,列选信号是由一个4位向量SEL3.0控制;行选信号为H0H15,是由1
8、6个行信号组成的,每一行由一个单独的位来控制,高电平有效。例如“0000”表示第0列,“0000000000000001”表示第一行的点亮。由于列是由一个向量决定,而每一时刻的值只能有一个固定的值,因而只能使某一列的若干个点亮,因此就决定了只能用逐列扫描的方法。例如要使第一列的2,4,6,8,行亮,则列为“0001”、行为“0000000010101010”就可以实现了。方案二:VHDL程序设计的是硬件,他和编程语言的最大区别是它可以“并发执行”。本设计可以将LED显示屏要的显示内容抽象成一个二维数组(数组中的1对映点阵显示屏上面的亮点),用VHDL语言设计一个进程将这个数组动态显示在LED显
9、示屏上,再利用另一个进程对这个数组按一定频率进行数据更新,更新的方式可以有多种。因为两个进程是同时进行的(并发执行),如果对数组中的汉字数据按滚动的方式更新,则可实现汉字的滚动显示。方案一很容易实现,而且占用FPGA的资源较少。但是由于其实现方式的局限性,该方案只能实现汉字的滚动显示。方案二中将LED点阵抽象成了一个二维数组。可以设计一些比较复杂的算法来控制这个数组,使设计的系统不但可以滚动显示汉字,还可以扩展一些其它的显示效果。但是方案二中对数组的处理部分对FPGA芯片的资源消耗太大学校实验室里的EPF10K10LC84-4芯片只有576个逻辑单元远远不够设计要求。所以最终选择方案一。汉字的
10、存储用动态分时扫描技术使LED点阵模块显示图像,需要进行两步工作。第一步是获得数据并保存,即在存贮器中建立汉字数据库。第二步是在扫描模块的控制下,配合行扫描的次序正确地输出这些数据。获得图像数据的步骤是,先将要显示的每一幅图像画在一个如图3.3所示的被分成16×16共256个小方格的矩形框中,再在有笔划下落处的小方格里填上“1”,无笔划处填上“0”,这样就形成了与这个汉字所对应的二进制数据在该矩形框上的分布,再将此分布关系以32×16的数据结构组成64个字节的数据,并保存在只读存贮器ROM中。以这种方式将若干个汉字的数据贮存在存贮器内,就完成了图像数据库的建立工作。 汉字显
11、示汉字显示使用的是16×16的点阵,EDA实验箱上有其接口电路,列选信号为SEL0,SEL1,SEL2,SEL3,经4线16线译码器输出16列,从左起为第一列,列选信号是由一个4位向量SEL3.0控制;行选信号为H0H15,是由16个行信号组成的,每一行由一个单独的位来控制,高电平有效。例如“0000”表示第0列,“0000000000000001”表示第一行的点亮。由于列是由一个向量决定,而每一时刻的值只能有一个固定的值,因而只能使某一列的若干个点亮,因此就决定了只能用逐列扫描的方法。例如要使第一列的2,4,6,8,行亮,则列为“0001”、行为“0000000010101010”
12、就可以实现了。四.下面是各个部分的程序设计及仿真波形图:列循环扫描通过对每一列的扫描来完成对字母的现实,只要扫描的频率足够快,就能给人以连续的感觉。因此要控制扫描的频率,不能太低,否则,就会造成视觉上的不连续,本设计的扫描频率不得低于50Hz,扫描程序如下:Library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;Entity dzxs is Port(enable,clk:in std_logic; -端口定义 输入信号 Sel:out std_logic_vector(3 downto 0); -端口定
13、义 输出信号End dzxs;Architecture count of dzxs is Signal lie:std_logic_vector(3 downto 0);BeginProcess(clk,enable) -脉冲、使能信号beginIf clk'event and clk='1'thenIf enable='1' thenIf lie>"0000" then Lie<=lie-"0001"Else Lie<="1111"End if; End if;End if;
14、Sel<=lie;End process;End conut;仿真图如下:字符样式设计 本环节是建立一个数据库,使之能在扫描的同时读取所需要的信息,从而完成汉字的显示。本次设计“王、日、田、口”汉字样式设计程序如下:Library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;Entity aa is Port(enable,clk:in std_logic; -端口定义 输入信号 h0,h8:out std_logic_vector(7 downto 0); -端口定义 输出信号(h0-列数据输出,h8
15、-代表行数据输出)End aa;Architecture count of aa is Signal lie:std_logic_vector(3 downto 0); -信号标志Signal past1:std_logic_vector(1 downto 0);BeginProcess(enable,clk) -脉冲、使能信号BeginIf clk'event and clk='1'thenIf enable='1' thenCase lie isWhen "00"=>Case lie is -“王”字设计When "
16、;0011"=>h0<="00010001"h8<="00010000"When "0100"=>h0<="00010001"h8<="00010000"When "0101"=>h0<="00010001"h8<="00010000"When "0110"=>h0<="00010001"h8<="0001
17、0000"When "0111"=>h0<="00010001"h8<="00010000"When "1000"=>h0<="00011111"h8<="11110000"When "1001"=>h0<="00010001"h8<="00010000"When "1010"=>h0<="00010001&qu
18、ot;h8<="00010000"When "1011"=>h0<="00010001"h8<="00010000"When "1100"=>h0<="00010001"h8<="00010000"When "1101"=>h0<="00010001"h8<="00010000"When others=>h0<="0
19、0000000"h8<="00000000"End case;When "01"=>Case lie is -“日”字设计When"0101"=>h0<="00011111"h8<="11110000"When"0110"=>h0<="00010001"h8<="00010000"When"0111"=>h0<="00010001&quo
20、t;h8<="00010000"When"1000"=>h0<="00010001"h8<="00010000"When"1001"=>h0<="00010001"h8<="00010000"When"1010"=>h0<="00010001"h8<="00010000"When"1011"=>h0<=&q
21、uot;00011111"h8<="11110000"When others=>h0<="00000000"h8<="00000000"End case;When "10"=>Case lie is -“田”字设计 When"0100"=>h0<="00011111"h8<="11110000"When"0101"=>h0<="00010001"h
22、8<="00010000"When"0110"=>h0<="00010001"h8<="00010000"When"0111"=>h0<="00010001"h8<="00010000"When"1000"=>h0<="00011111"h8<="11110000"When"1001"=>h0<="
23、;00010001"h8<="00010000"When"1010"=>h0<="00010001"h8<="00010000"When"1011"=>h0<="00010001"h8<="00010000"When"1100"=>h0<="00011111"h8<="11110000"When others=>h0<
24、;="00000000"h8<="00000000" End case;When "11"=>Case lie is -“口”字设计When"0101"=>h0<="00011111"h8<="11100000"When"0110"=>h0<="00010000"h8<="00100000"When"0111"=>h0<="00
25、010000"h8<="00100000"When"1000"=>h0<="00010000"h8<="00100000"When"1001"=>h0<="00010000"h8<="00100000"When"1010"=>h0<="00010000"h8<="00100000"When"1011"=>
26、;h0<="00011111"h8<="11100000"When others=>h0<="00000000"h8<="00000000" End case;When others=>h0<="00000000"h8<="00000000" End case; End if; End if;End process;End count;仿真波形图:字母循环扫描及期间的延时环节为使字母不断地循环显示,并且使每个字母之间有停顿,就需
27、要在中间加一定的延时和循环环节。在这一环节中,可以通过修改其数值来控制每个字母的显示时间。其程序如下:Library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;Entity bb is Port(enable,clk:in std_logic; -端口定义 输入信号 Sel:out std_logic_vector; -端口定义 输出信号End bb;Architecture count of bb is Signal lie:std_logic_vector;Beginprocess(clk) varia
28、ble int: integer range 0 to 10000;begin if clkevent and clk=1then if int<10000 then int:=int+1; elseint:=0; if next1=”11”thennext1<=”00”; elsenext1<= next1+1; end if; end if;end if;end process;end count;整个完整的程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity y
29、z is port(enable,clk:in std_logic; sel:out std_logic_vector(3 downto 0);h0,h8:out std_logic_vector(7 downto 0);end yz;architecture count of yz is signal lie:std_logic_vector(3 downto 0); signal next1: std_logic_vector(1 downto 0);beginprocess(clk,enable)beginIf clk'event and clk='1'thenI
30、f enable='1' thenIf lie>"0000" then Lie<=lie-"0001"Else Lie<="1111"End if; End if;End if;Sel<=lie;End process;process(enable,clk)beginIf clk'event and clk='1'thenIf enable='1' thenCase next1 isWhen "00"=>Case lie is W
31、hen "0011"=>h0<="00010001"h8<="00010000"When "0100"=>h0<="00010001"h8<="00010000"When "0101"=>h0<="00010001"h8<="00010000"When "0110"=>h0<="00010001"h8<=&
32、quot;00010000"When "0111"=>h0<="00010001"h8<="00010000"When "1000"=>h0<="00011111"h8<="11110000"When "1001"=>h0<="00010001"h8<="00010000"When "1010"=>h0<="00
33、010001"h8<="00010000"When "1011"=>h0<="00010001"h8<="00010000"When "1100"=>h0<="00010001"h8<="00010000"When "1101"=>h0<="00010001"h8<="00010000"When others=>h0<
34、;="00000000"h8<="00000000"End case;When "01"=>Case lie is When"0101"=>h0<="00011111"h8<="11110000"When"0110"=>h0<="00010001"h8<="00010000"When"0111"=>h0<="00010001&q
35、uot;h8<="00010000"When"1000"=>h0<="00010001"h8<="00010000"When"1001"=>h0<="00010001"h8<="00010000"When"1010"=>h0<="00010001"h8<="00010000"When"1011"=>h0<=
36、"00011111"h8<="11110000"When others=>h0<="00000000"h8<="00000000"End case;When "10"=>Case lie is When"0100"=>h0<="00011111"h8<="11110000"When"0101"=>h0<="00010001"h8<=
37、"00010000"When"0110"=>h0<="00010001"h8<="00010000"When"0111"=>h0<="00010001"h8<="00010000"When"1000"=>h0<="00011111"h8<="11110000"When"1001"=>h0<="00010
38、001"h8<="00010000"When"1010"=>h0<="00010001"h8<="00010000"When"1011"=>h0<="00010001"h8<="00010000"When"1100"=>h0<="00011111"h8<="11110000"When others=>h0<=&quo
39、t;00000000"h8<="00000000" End case;When "11"=>Case lie is When"0101"=>h0<="00011111"h8<="11100000"When"0110"=>h0<="00010000"h8<="00100000"When"0111"=>h0<="00010000"h8<="00100000"When"1000"=>h0<="00010000"h8<="00100000"When"1001"=>h0<="00010000"h8<="00100000"When"1010"=>
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 石墨企业品牌建设与市场营销策略研究
- 现代广告策略与实战案例分析
- 用户行为分析与精准营销策略的融合
- 现代营销中的多渠道策略
- Unit1 Section A 3a~3c阅读课教学设计 - 2024-2025学年人教版八年级英语下册
- 24 时计时法(教学设计)-2023-2024学年三年级下册数学人教版
- 《家乡文化生活现状调查》教学设计 2023-2024学年统编版高中语文必修上册
- 眼科手术后的患者教育与心理支持
- 15《我与地坛》教学设计 2024-2025学年统编版高中语文必修上册
- 《第二单元 创建高级动画 第10课 制作生日贺卡 制作星星滑落的动画》教学设计教学反思-2023-2024学年初中信息技术人教版八年级上册
- DB15T 2058-2021 分梳绵羊毛标准
- 2022年涉农领域涉嫌非法集资风险专项排查工作总结
- (高职)银行基本技能ppt课件(完整版)
- 五年级下册美术课件-第2课 新街古韵丨赣美版
- 山东省莱阳市望岚口矿区页岩矿
- 机动车维修经营备案告知承诺书
- 秦荻辉科技英语写作教程练习答案(共42页)
- 猴车司机试题
- 新人教版九年级全一册物理知识点填空题汇编
- 剪力墙、楼板开洞专项施工方案
- 办好高水平民办高中的哲学思考-教育文档
评论
0/150
提交评论