数字电子钟实验报告有完整电路图_第1页
数字电子钟实验报告有完整电路图_第2页
数字电子钟实验报告有完整电路图_第3页
数字电子钟实验报告有完整电路图_第4页
数字电子钟实验报告有完整电路图_第5页
已阅读5页,还剩38页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、第 1 页目录第一章数字电子钟系统设计摘要1.1数字电子钟摘要 .3 1.2本文关键词 .3 第二章数字电子中系统具体设计过程与实现2.1.技术指标 .4 2.2 系统设计 .4 2.2.1 系统框图 .6 2.2.2 方案论证 .7 2.3单元电路 .8 2.3.1 分频器 .8 2.3.2 60 进制计数器 .9 2.3.3 24进制计数器 .10 2.3.4 12与 24小时转换器 11 2.3.5 四位动态显示器 .17 2.3.6 整点与学号报时 .18 2.3.7 闹钟.22 2.3.8 校时校分 .24 2.4整体电路图 25 第 2 页2.5单元电路功能测试 26 2.6整体电

2、路功能测试 32 2.7实验完成情况 37 第三章实验原件清单 .38 第四章结束语.39 参考文献 .43 附录(预习电路图与正式电路图) 第 3 页第一章数字电子钟系统设计摘要1.1 数字电子钟课题设计摘要课程题目:数字电子钟设计摘要:数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。通过数字电路的技术使用,分频器、计数器、译码与显示器以及各种选择控制端实现数字钟准确计时,校时,设定闹钟,整点报时,12 与24 小时转

3、换,以及整点报时的功能。本次课程设计采用了 xilinx 公司的设计软件foundation3.1 ,通过电脑仿真来设计电路图, 然后下载到芯片95108,再通过硬件具体显示数字钟,并测试其各个模块的功能。1.2 本文关键词60 进制计数器, 24 进制计数器,译码与显示电路第 4 页第二章数字电子中系统具体设计过程与实现2.1 技术指标2.1.1 整体功能数字电子钟能以秒为最小时间单位计时,同时应能用数字直观显示当前的时与分,通过一个放光二极管显示秒,用两个发光二极管指示上、下午,可以手动校时,可以设定闹钟时间,以及事项整点报时和学号报时的功能。2.1.2 系统结构数字电子钟的系统结构方框图

4、如图2-1 所示。 图中的秒信号电路产生1hz 的标准计时信号,计时电路记录当前的时,分值,数字显示以数字的方式显示出当前的时间值,闹钟电路用与设定闹钟,报时电路用于整点报时以及学号报时,时分调校电路用于校正当前的时间。第 5 页图 2-1 2.1.3 电气指标(1)最小计时时间单位为1s。(2) 秒和分的范围是 0059, 小时的计时范围是 0023,并可手动将小时计时范围转换为0012,同时指示上下午。(3)可以手动校正分,时。(4)具有整点报时的功能,要求每个整点前鸣叫4 次低音(500hz) ,整点时鸣叫一次高音( 1000hz) ,同时在自己学号前也要鸣叫4 次低音,计时到自己学号时

5、鸣叫高音( 1000hz) 。(5)通过转换开关,可使电子钟具有闹钟功能,可以计时电路秒信号数字显示时、分调整电路报时电路闹钟电路第 6 页手动设置闹钟的时与分。2.1.4 设计条件使用xilinx公司的foundation3.1 仿真软件,选用xc9500系列芯片中的95108pc84下载后通过硬件连接电路实现各个模块的功能。2.2 系统设计数字电子钟主体电路应由以下几部分组成:通过分频器产生标准秒信号,以及报时与闹钟需要的500hz 与1000hz的信号;60 进制分秒计数器以及24 小时与 12 小时的时计数器;分、时的译码显示部分;校时电路;整点和学号报时电路;以及闹钟定时电路。数字钟

6、的工作原理是:由信号给出的信号经过分频后得到 1hz 的标准信号,作为秒计数器的计数脉冲;秒计数器计满 60 后,向分计数器发出进位脉冲;分计数器计满 60 后,向时计数器发出进位脉冲;小时按照24 小时进制或“ 12 翻 1”规律计数。计数器的输出分别驱动译码电路,数码管显示时间。当时钟出现误差时可以通过控制开关进行校时校分。通过控制开关可以显示闹钟的时间,并调整闹钟的分与时,还可以通过控制开关显示第 7 页24 小时计时或者12小时计时。系统框图如图2-2 所示。1hz 1khz 2khz 500hz 方案论证:本次课程设计数字电子钟通过电脑仿真,然后通过硬件实现电路的显示与功能的调试,都

7、是实际可行的,可以操作的,而且各个模块的设计也是合理的,方案具有可行性。分显示器整点与 学号报时系统时显示器时译码器秒 计 数器闹 钟 系统分译码器时计数器分频器分计数器校分电路单次脉冲校时电路控制电路第 8 页2.3 单元电路2.3.1 分频器分频器的功能主要有两个:一是产生标准秒信号;二是提供给功能扩展电路所需要的信号,如仿电台报时用的 1000hz的高音频信号和500hz的低音频信号等。用一个 2 分频的计数器把输入的2000hz 的信号分成1000hz的信号,然后用 3级 m=10 的计数器对 1000hz的信号进行分频,得到 1hz 的标准信号。如图 2-3 从 1000hz的信号取

8、出 2分频的信号即 500hz,可用作低音信号。500hz 1hz 2000hz1000hz图 2-3 分频电路可以选用74160 作为计数器实现分频,分频器的具体电路如下图2-4所示。m=2计数器m=10 计数器m=10 计数器m=10 计数器第 9 页图 2-4 2.3.2 60 进制计数器 c60 电子中的分和秒计时采用的是60进制的技术方式,其计数规律 00,01,02,03 58,59,00选用十进制的或16 进制的计数器进行两级级联即可构成m=60 的计数器。如图 2-5 所示第 10 页图 2-5 两个 74160同步级联以复 0 法构成六十进制的计数器,第一片 m=10,第二片

9、 m=6,在计数达到 59 的时候进位段输出高电平。2.3.3 24 小时进制计数器 c24 图 2-6 所示电路是由两片74160组成的能实现24 进制的同步递增计数器。图中个位与十位计数器均接成十进制计数形式,采用同步级连方式。十位与个位的输出端分别是 h2d, h2c, h2b,h2a,h1d, h1c,h1b,h1a 选择十位计数器的输出端h2b 和个位计数器的输出端h1c 通过与非门 nand2 控制两片计数器第 11 页的清零端( clr ) ,利用状态24 反馈清零,可实现24进制递增计数。图 2-6 2.3.4 12 与 24 进制计数器的转换12 小时采用的是“ 12 翻 1

10、”的特殊进制计数器,当数字钟的计时器运行到12时 59分 59秒时,秒的个位计数器再接收一个秒脉冲时,数字钟应自动显示为01 时 00分 00 秒,实现日常生活中习惯用的计时规律。在本次实验中并没有用原理图实现12 小时的计数器,而是采用第 12 页vhdl 语言构造一个原件将24小时对应转化成12小时。同时输出一个控制端在12 小时进制时显示上、下午。vhdl 语言代码如下:library ieee; use ieee. std_logic_1164.all; entity cntm12 is port(h1a:in std_logic; h1b:in std_logic; h1c:in s

11、td_logic; h1d:in std_logic; h2a:in std_logic; h2b:in std_logic; h2c:in std_logic; h2d:in std_logic; h1aout:out std_logic; h1bout:out std_logic; h1cout:out std_logic; h1dout:out std_logic; h2aout:out std_logic; h2bout:out std_logic; h2cout:out std_logic; h2dout:out std_logic; amorpm:out std_logic); e

12、nd cntm12; architecture beh of cntm12 is signal temp_in : std_logic_vector (7 downto 0); signal temp_out: std_logic_vector (8 downto 0); begin temp_in temp_out temp_out temp_out temp_out temp_out temp_out temp_out temp_out temp_out temp_out temp_out temp_out temp_out temp_out temp_out temp_out temp_

13、out temp_out temp_outtemp_out temp_outtemp_out temp_out temp_outtemp_out=000000000; end case; amorpm=temp_out(8); h2dout=temp_out(7); h2cout=temp_out(6); h2bout=temp_out(5); h2aout =temp_out(4); h1dout=temp_out(3); h1cout=temp_out(2); h1bout=temp_out(1); h1aout=temp_out(0); 第 14 页end process; end be

14、h; 管脚 h2d,h2c,h2b,h2a 为时的十位, h1d,h1c,h1b, h1a 为时的个位 ,分别接 24 小时进制的输出端,通过判断将其转化为12 小时进制时的数字输出,输出端为h2dout,h2cout,h2bout,h2aout,h1dout, h1cout,h1bout,h1aout,amorpm输出 1 为上午,0为下午。元件封装后如图2-7 图 2-7 然后通过数据选择器选择24 或者 12 进制的信号进行第 15 页输出。四片数据选择器74153 的 co1 与 co2 端分别接c24 的输出端与 c24to12 的输出端,在74153的 b 端输入的是 0 ,当 a

15、 端输入 0时,输出 12 小时计时的时间,在 a 端输入 1时,输出 24 小时计时的时间。原件内部电路图如图2-8所示。原件封装后为 choose12or24输入端为 h2d24,h2c24, h2b24,h2a24,h1d24,h1c24,h1b24,h1a24, h2d12, h2c12,h2b12,h2a12h1d12,h1c12,h1b12,h1a12, 输 出端 h2dout,h2cout,h2bout,h2aout,h1dout,h1c out,h1bout,h1aout 。choose12or24 端选择输出12 小时或者 24 小时的时间。如图2-9 所示。图 2-8 第

16、16 页图 2-9 最后将 c24,c24to12 和 choose12or24连接起来,封装成时的计数与转换模块hour。内部电路与原件分别如图 2-10,2-11。图 2-10 第 17 页图 2-11 2.3.4 四位动态显示电路dtxs 数字钟只要求输出时与分,所以可以采用四位动态显示电路输出时与分的十位和个位。使用2 片 74153 与74139 将四个位上的数字动态显示到数码管上,使用74161构成一个模为4 的计数器,将 74153上时与分十位与个位的输入分别输出到数码管,由于计数器计数的速度非常快以及人眼的滞留效应,察觉不到数字的变化,最后将看到四位数字同时显示到数码管上。74

17、161的 cp端接到 1khz 的信号上。具体电路如2-12。第 18 页图 2-12 2.3.6 整点与学号报时电路jtclockcp 电子钟走到整点时即发出音响,通常按照 4 低音、1高音的顺序发出间断的声响,以最后一声高音为整点时刻。只要整点报时的时刻,即各个计数器的状态分拣出来,控制报时的音响电路即可达到报时的效果。报时的时间为 59 分的 51 秒,53 秒,55秒,57 秒与 59 秒前四声为低音,最后一声为高音。而学号报时也是在学号前一分钟的 51, 53, 55, 57, 59 秒发出声音。在低音时输出 500hz信号,在高音时输出 1000hz信号。输入端分别是秒、 分、时计

18、数器的输出端。输出端为o500hz与 o1khz,再通过第 19 页74153选择输出的是500hz 的信号还是 1khz 的信号。此原件使用 vhdl 语言设计,具体代码如下:library ieee; use ieee.std_logic_1164.all; entity jtclock isport ( h1a:in std_logic; h1b:in std_logic; h1c:in std_logic; h1d:in std_logic; h2a:in std_logic; h2b:in std_logic; h2c:in std_logic; h2d:in std_logic;

19、m1a: in std_logic; m1b: in std_logic; m1c: in std_logic; m1d: in std_logic; m2a: in std_logic; m2b: in std_logic; m2c: in std_logic; m2d: in std_logic; s1a: in std_logic; s1b: in std_logic; s1c: in std_logic; s1d: in std_logic; s2a: in std_logic; s2b: in std_logic; s2c: in std_logic; s2d: in std_log

20、ic; o1khz: out std_logic; o500hz: out std_logic ); end jtclock; 第 20 页architecture jtclock_arch of jtclock is begin process(h2a,h2b,h2c,h2d,h1a,h1b,h1c,h1d,m1a,m1b,m1c,m1d,m2a,m2b,m2c,m2d,s1a,s1b,s1c,s1d,s2a,s2b,s2c,s2d) begin if(m2d = 0 and m2c=1 and m2b=0 and m2a=1and m1d= 1 and m1c= 0 and m1b = 0

21、 and m1a= 1 and s2d =0 and s2c=1 and s2b= 0 and s2a = 1and s1d=1 and s1c=0 and s1b= 0 and s1a=1) then o500hz=0; o1khz=1; elsif(m2d = 0 and m2c=1 and m2b=0 and m2a=1 and m1d= 1 and m1c= 0 and m1b = 0 and m1a= 1 and s2d =0 and s2c=1 and s2b = 0 and s2a = 1 and s1d=0 and s1c=0 and s1b= 0 and s1a = 1)th

22、en o500hz =1; o1khz =0; elsif(m2d = 0 and m2c=1 and m2b=0 and m2a=1 and m1d= 1 and m1c= 0 and m1b = 0 and m1a= 1 and s2d =0 and s2c=1 and s2b = 0 and s2a = 1and s1d=0 and s1c=0 and s1b= 1 and s1a = 1)then o500hz =1; o1khz =0; elsif(m2d = 0 and m2c=1 and m2b=0 and m2a=1 and m1d= 1 and m1c= 0 and m1b

23、= 0 and m1a= 1 and s2d =0 and s2c=1 and s2b = 0 and s2a = 1and s1d=0 and s1c=1 and s1b= 0 and s1a = 1)then o500hz =1; o1khz =0; elsif(m2d = 0 and m2c=1 and m2b=0 and m2a=1 and m1d= 1 and m1c= 0 and m1b = 0 and m1a= 1 and s2d =0 and s2c=1 and s2b = 0 and s2a = 1and s1d=0 and s1c=1 and s1b= 1 and s1a

24、= 1)then o500hz =1; o1khz =0; elsif(h2d=0 and h2c =0and h2b=0and h2a = 1 and h1d= 0 and h1c = 1 and h1b=0 and h1a = 0 and m2d = 0 and 第 21 页m2c=0 and m2b=1 and m2a=0 and m1d= 0 and m1c= 1 and m1b = 0 and m1a= 1 and s2d =0 and s2c=1 and s2b = 0 and s2a = 1and s1d=0 and s1c=0 and s1b= 0 and s1a = 1)th

25、en o500hz =1; o1khz=0 ;elsif(h2d=0 and h2c =0and h2b=0and h2a = 1 and h1d= 0 and h1c = 1 and h1b=0 and h1a = 0 and m2d = 0 and m2c=0 and m2b=1 and m2a=0 and m1d= 0 and m1c= 1 and m1b = 0 and m1a= 1 and s2d =0 and s2c=1 and s2b = 0 and s2a = 1and s1d=0 and s1c=0 and s1b= 1 and s1a= 1)then o500hz =1;

26、o1khz=0; elsif(h2d=0 and h2c =0and h2b=0and h2a = 1 and h1d= 0 and h1c = 1 and h1b=0 and h1a = 0 and m2d = 0 and m2c=0 and m2b=1 and m2a=0 and m1d= 0 and m1c= 1 and m1b = 0 and m1a= 1 and s2d =0 and s2c=1 and s2b = 0 and s2a = 1and s1d=0 and s1c=1 and s1b= 0 and s1a = 1)then o500hz =1; o1khz=0; elsi

27、f(h2d=0 and h2c =0and h2b=0and h2a = 1 and h1d= 0 and h1c = 1 and h1b=0 and h1a = 0and m2d = 0 and m2c=0 and m2b=1 and m2a=0 and m1d= 0 and m1c= 1 and m1b = 0 and m1a= 1 and s2d =0 and s2c=1 and s2b = 0 and s2a = 1and s1d=0 and s1c=1 and s1b= 1 and s1a = 1)then o500hz =1; o1khz=0; elsif(h2d=0 and h2

28、c =0and h2b=0and h2a = 1 and h1d= 0 and h1c = 1 and h1b=0 and h1a = 0and m2d = 0 and m2c=0 and m2b=1 and m2a=0 and m1d= 0 and m1c= 1 and m1b = 1 and m1a= 0 and s2d =0 and s2c=1 and s2b = 0 and s2a = 1and s1d=1 and s1c=0 and s1b= 0 and s1a = 1)then 第 22 页o500hz =0; o1khz=1; else o500hz=0; o1khz=0; en

29、d if; end process; end jtclock_arch; 2.3.7 闹钟电路 clock 闹钟是数字电子钟的一个很重要的功能,闹钟系统只要再做一个分与时的计数器,通过同或门分别将时与分的十位与个位与闹钟设定的时间相比较,当时刻相同时就输出高电平, 再通过 74153选择 1000hz的信号输出进行报时。当时刻不同时输出低电平,这时74153 选择低电平输出,这时蜂鸣器并不会响。闹钟电路中的分与时计数器并不级联, 两个计数器的 cp端加单脉冲即可实现闹钟的定时。同时闹钟设定的时间也要可以显示在数码管上,因此,闹钟电路的输出端还要有闹钟时与分的信号。电路图如2-13所示。第 23

30、 页图 2-13 封装后如图 2-14。图 2-14 第 24 页2.3.8 校时校分电路电子钟一个不可或缺的部分就是校时校分电路,在接通电源或计时出现误差时,需要校正时间,校时电路的要求是:在进行校时时不影响分秒的计时,同理在进行校分是不影响秒的计时。同样需要一个控制开关来选择是校正时间还是显示时间,用一个74153在 b 端接 0 ,c0 接进位信号, c1接单次脉冲,这样在a 端接0时就输出进位信号,正常走时。接1时,用单次脉冲来调整时间,进行校时。具体电路如图2-15所示。图 2-15同理校时电路和图2-15 相同。闹钟的校时与校分电路第 25 页则更为简单, c0直接接0即可,其他与

31、图 2-15 相同。2.4 整体电路上面已经完成各个单元电路的设计,将各部分封装后连接成数字钟的整体电路图如2-16 所示。详细见手绘电路图。图 2-16 第 26 页2.5 单元电路功能测试下面各个部分电路的功能测试都是在foundation3.1上仿真的结果。2.5.1 分频器 f1hz 将 2khz分成 1k hz,500hz,以及 1hz 图 2-17 由 2-17 图可见 1khz信号的周期是输入cp脉冲的 2 倍,500hz信号的周期是 1khz的 2 倍,可见分频是正确的。下图 2-18 将仿真的步长改成 2us而输入 cp的周期为 1ns,经过 2us 就有一个脉冲,这个输出可

32、以接到秒计数器的cp端。图 2-18 第 27 页2.5.1 秒计数器秒计数器与分计数器的功能是以60 为周期计数,当计数到 59 的时候产生进位信号输出到下一级计数器。仿真结果如图 2-19 所示。图 2-19 由图可以看出个位以10 为周期进位,在计数到59 后进位信号 co产生一个上升沿。实现了预期的计数与进位功能。2.5.2 24进制计数器与 60 进制计数器相似,其计数周期为24 ,在计到 23后变成 00。仿真结果如图 2-30。图 2-30 第 28 页图中蓝色竖线的地方就是进位的地方,可见在23 后计数器变成 00,功能正确。25.312 与 24 小时转换电路功能仿真此电路要

33、就将24 小时进制的时间转换成12 小时进制的时间。为了检测仿真的结果,输入“14”此时的结果应该输出“02” ,同时 amorpm输出的应该是低电平。 图 2-31是仿真结果。图 2-31 2.5.4 四位动态显示四位动态显示在一个cp计数脉冲中显示的是输入的一位数字,以四为周期循环显示输入的四位数字。输入 1234,图 2-32 是仿真后的结果。第 29 页图 2-32 2.3.6 整点与学号报时电路功能测试整点与学号报时电路要求在59 分以及学号( 1426)前一分钟的 51 秒,53 秒,55 秒,57 秒输出 500hz信号,59 秒时输出 1khz的信号。图 2-33 是在 14

34、点 25 分 51 秒的时候仿真的波形图。此时应该输出 500hz的信号。图 2-33 由图可见 outf 输出端与 500hz信号的输出波形相同。再测试整点报时在59 分 59 秒时的波形图。如图2-34 所示。第 30 页图 2-34 此时 outf输出的波形与1khz相同,整点与学号报时功能测试通过。2.3.7 闹钟功能测试将闹钟设置成18 点 18 分,然后调整时钟的时间,观察波形如图 2-35 所示。图 2-35 图中蓝色竖线的地方时钟时间为18 点 18 分,与闹钟时间相同,闹钟输出端变成高电平,接到外面的控制电路即可输出 1khz的高频信号。测试闹钟功能正确。第 31 页2.3.

35、8校时校分功能测试数字钟在刚接通电源或者走时出现误差的时候需要进行校时,校分,以调整时间。在控制端输入高电平时电路进入校时状态,输入一个脉冲时或分的计数器则增加一。在调整时的时候不影响分与秒的走时,在调整分的时候不影响秒的走时。测试波形图如图2-36 所示。图 2-36 测试波形在前 90us 中校时与校分控制端都接入高电平所以在输入的脉冲信号有一个上升沿时时与分就都增加1,而在 90时 us 后校分的控制端接低电平,由波形图可以看出,此后只有时的计数器在输入脉冲到上升沿时才增加 1, 而分的计数器保持不变。 校时功能仿真结果正确。第 32 页2.6 整体电路功能测试在进行了各个单元电路的测试

36、后,接下来进行整体电路功能测试,测试步骤如下:1. 调整时间到 13 点 24 分,即进行校时校分。2. 显示 12 小时进制时间以及上下午指示,检测 12 与 24进制转换。3. 调整时间到 14 点 25 分,检测计数器进位功能。4. 检测学号报时功能在14 点 25 分 51 秒,53 秒,55 秒,57 秒输出 500hz信号,在 59 秒时输出 1khz信号。5. 调整时间到 15 点 59 分检测整点报时功能。6. 调整闹钟到 16 点 16 分检测闹钟报时功能。整体功能仿真结果如下:如图 2-37 蓝色竖线显示的时间是10 点 16 分 44 秒,在下一个时刻将adjusth 控

37、制开关置为 1 ,此时调整时的计时,可以看到当insinglecp 有一个上升沿的时候时的技术就加 1。 在将时调整到 13 点的时候,将 adjusth控制开关置为 0 ,将校分控制开关置为 1此时为校分,由图可以看出每当insinglecp 出现一个上升沿的时候分的计数就加1 一直调整到 13 点 24 分,将 adjustm第 33 页控制开关也置为 0进行正常计时。同时在图中也可以看出在 10 点 16 分 44 秒的时候 amopad 输出的是 1 ,pmopad输出的是 0表示上午。而在 13 点 24 分的时候pmopad输出的是 1 ,amopad输出的是 0表示下午。至此校时

38、校分功能,以及上下午显示功能均测试通过。图 2-37 接下来将控制开关choose12or24开关置为 0 ,此时显示的应该是 12 小时进制的时间。接下来的时刻应该显示下午 1 点 24 分。如图 2-38 中蓝色竖线处所示。第 34 页图 2-38 然后将时间调整到14 点 25 分,在 51,53,55,57 秒时 jtclockout输出的波形与 500hz的波形相同且持续时间达到一秒,如图2-39 所示。图 2-39 当达到 14 点 25 分 59 秒时 jtclockout输出的波形与第 35 页1khz的相同,当到 14 点 26 分 00 秒时结束。如图2-40所示。图 2-

39、40 接下来调整时间到15 点 59 分检测整点报时功能。如图 2-41 所示,在 51, 53, 55, 57 秒的时候输出的是500hz的波形,在 59 秒时输出的是 1khz的波形。如图 2-41 所示。第 36 页图 2-41 接下来测试闹钟功能,首先将显示闹钟控制开关choosedtxs置为1调整闹钟时间到 16 点 16 分然后观察在时钟到 16 点 16 分 cloclkring输出的信号。观测结果如图 2-42 所示。 可见在 16 点 16 分 00秒时 cloclkring的输出波形与 1khz的输出波形相同。闹钟功能通过测试。图 2-42 至此整体功能测试已经结束。综上可

40、见数字电子钟整体功能均已实现。第 37 页2.7 实验完成情况电路功能完成情况计时24 小时转换成 12 小时显示时间与上下午校时校分闹钟整点报时学号报时分频与秒闪本次实验中要求的功能均已完成达到了设计的要求。第 38 页第三章原件清单74160 15 个74153 19 个74139 1 个74161 1 个与非门 7 个与门 9 个同或门 16 个或门 1 个第 39 页第四章 结束语为期两周的课程设计结束了,在这里我要说一下我的感受以及我发现的问题。这次课程设计是第一次将所学的知识综合应用到实际中去,刚开始拿到这个课程设计题目的时候感觉无从下手,因为学习数字电路已经有一年多了,很多东西都有些遗忘了。在看了实验书上的基本实验框图后,才感觉有了一些眉目。在实验课第一天结束后回到宿舍预习实验内容时感觉很吃力,只做好了一个分频器,60进制的计数器以及一个24进制的计数器。可当把预习报告交上去后,老师说全班交上去所有的实验报告都在 50 分以下,大家设计的连三分之一都不到。这时感觉此次课程设计并不轻松。在实验的前三天迅速把数字电路的相关知识学习了一下,又到图书馆借阅了基本跟 eda有关的书籍,这才找到了一点感觉。实验进行到第五天我才连出了一个可以计时的电路,只有一

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

最新文档

评论

0/150

提交评论