(完整版)大学毕业设计说明书.doc_第1页
(完整版)大学毕业设计说明书.doc_第2页
(完整版)大学毕业设计说明书.doc_第3页
(完整版)大学毕业设计说明书.doc_第4页
(完整版)大学毕业设计说明书.doc_第5页
免费预览已结束,剩余50页可下载查看

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、2013 届毕业设计说明书基于 EDA技术设计的32 路彩灯控制器系 、 部:电气与信息工程学院学生姓名:学号:指导教师:职称:专业:电子信息工程班级:完成时间:2013年 5月 20日摘要随着科学技术的发展 , 在现代生活中 , 节日彩灯作为一种景观装饰被应用的领域越来越广泛。随着电子技术的发展,应用系统向着小型化、快速化、大容量、重量轻的方向发展, EDA 技术的应用引起电子产品及系统开发的革命性变革。 VHDL 语言作为可编程逻辑器件的标准语言描述能力强,覆盖面广,抽象能力强,在实际应用中越来越广泛,也使人们可以从繁重的设计工作中解脱出来,提高设计效率,缩短产品研发周期。整个过程通过 E

2、DA 工具自动完成,大大减轻了设计人员的工作强度,提高了设计质量,减少了出错的机会。此次设计一个彩灯控制器,可以实现十六种不同花型的变化。用分频器来控制实现调速按钮,高电平变化快,低电平变化慢,还要配备清零按钮。根据上述的情况来分配任务,将本次设计的主控电路分为两个模块,分别为时序控制电路模块和显示模块。时序控制电路是根据输入信号的设置得到相应的输出信号,并且将此信号作为显示电路的时钟信号;显示电路输入时钟信号的周期有规律的输出设定的十六种不同彩灯变化花型。整个系统共有三个输入信号: 控制彩灯节奏快慢的基准时钟信号 CLK,系统清零信号 CLR,彩灯频率输出选择控制信号 CHOSE_KEY;共

3、有 32 个输出信号LED31.0 ,分别用于控制32 路彩灯。本文阐述了基于EDA 技术的多路彩灯控制器的设计与分析,并在Quartus II环境下采用 VHDL 语言实现,也得出了采用CPLD 进行产品开发可以灵活地进行模块配置,大大缩短了产品开发周期,也有利于产品向小型化,集成化的方向发展。论述了基于VHDL 语言和 CPLD 芯片的数字系统设计思想和实现过程。关键词:彩灯控制器; VHDL;EDAABSTRACTwith the development of science and technology, in the modern life,ismoreandmorewidelyap

4、plicationfield.Withthedevelopmentofelectronic technology, the application system toward miniaturization, thedirection of the rapidness, large capacity, light weight, the application ofEDAtechnologyelectronicproductsandsystemsdevelopmentofrevolutionarychange.Astheprogrammablelogicdevicesstandardlangu

5、ageVHDLlanguagedescriptionability,widecoverage,abstractability,moreandmorewidelyinthepracticalapplication,also makepeoplecanbefreefromwork,improvethedesignefficiency,shortenproductdevelopmentcycle.ThewholeprocessfinishedautomaticallybytheEDAtools,greatlyreducethedesignpersonnel'swork strength, i

6、mprove the quality of the design, reduces the chance oferror.Alanterncontroller,thedesigncan achieve16differentpatternsofchange, i.e. there should be a reset switch, use a state machine to controlthe implementation. Divider is used to realize the speed control button,control . Assigned tasks accordi

7、ng to the above situation, the design ofmain control circuit can be divided into two modules, the timing controlcircuitmoduleanddisplaymodulerespectively.Sequentialcontrolcircuitsareaccordingtotheinputsignalissettogettheappropriateoutputsignals,and thedisplaycircuitasasignaloftheclocksignal;Display

8、circuit input clock signal cycle of regular output of 16 differentlightschangepattern.Therearethreeinputsignals:the wholesystemcontrol lights rhythm of the reference clock signal CLK, CLR, system resetsignallights CHOSE_KEY frequencyoutputselectioncontrolsignal;Atotal of 32 output signal LED 31. 0,

9、32 were used to control the lights.This paper expounds the multi-way lantern controller based on EDAtechnology, the design and analysis, and in the Quartus II environmentare implemented using VHDL language, and the CPLD is obtained in termsof productdevelopmentcanflexiblyconfiguremodule,greatlyshort

10、entheproductdevelopmentcycle,and conduciveto producttominiaturization,the directionofintegration.Based on VHDLlanguageandCPLDchipis discussed,andthedigitalsystemdesignideasandimplementation process.Key wordslantern controlle;VHDL;EDA目录1 绪论 .11.1课题背景.11.2研究目的和意义 . .22 设计内容.32.1设计要求.32.2功能描述.32.3设计原理.

11、43 模块设计及其功能 .53.1模块功能描述 . .53.2时序控制电路设计 . .53.2.1振荡器 .63.2.2频率发生器 .73.2.3地址码产生器 .83.3显示控制电路设计 . .103.3.1编码发生器 .113.3.2驱动电路 .204顶层模块设计 .215彩灯控制器仿真测试 . .225.1频率发生器模块仿真波形 .225.2地址码产生器模块仿真波形 .235.3编码发生器模块仿真波形 .245.4彩灯控制器顶层文件仿真波形 .256总结 .26参考文献 .27致谢.29附录 程序清单 .301 绪论随着科技日新月异的发展,在现代生活中,彩灯作为一种景观,安置在各种建筑物的

12、适当地方作为装饰添加气氛,达到了广告宣传的作用。对实时动态效果的灯光进行实时控制的装置很多,如电脑编程多路彩灯控制器、CEC 电脑彩灯控制器、 EPROM 程控编码彩灯控制器和计算机灯光控制系统。以上这些控制装置均运用计算机技术、电子信息技术和声光及无线技术,对被控灯光系统按设定的要求进行亮、灭灯等控制,形成了各种各样的灯光图案效果,有时还配以音乐和水幕喷射,营造了梦幻般的艺术特景效果,让人叹为观止。1.1课题背景在经济和商业高度发达的今天,彩灯已经成为人民日常生活不可或缺的一部分,已经逐渐开始被越来越多的人们所关注,在家庭中的使用率不断提高,大大的丰富和改善了人民的生活。彩灯可由不同颜色色彩

13、的LED灯组成,通过控制不同颜色的灯的亮与灭时间顺序,呈现出不同的花型和图案,极大的丰富了乏味的现代都市夜景,让城市圈成为了多姿多彩的不夜城。此次设计主要是根据可编程逻辑器件,使用硬件描述语言VHDL,采用了“自顶而下”的设计思路,完成一个32 路彩灯控制器的设计,并且使用 Quartus II仿真软件来仿真结果。VHDL(VeryHigh Speed Integrated Circuit Hardware DescriptionLanguage, 超高速集成电路硬件描述语言) 诞生于 1982 年,是由美国国防部牵头开发的一种快速设计电路的工具,目前已经成为IEEE(The Institut

14、eof Electrical and Electronics Engineers)的一种工业标准硬件描述语言。相比传统的电路系统的设计方法,VHDL 具有多层次描述系统硬件功能的能力,可支持自顶向下 ( Top to Down) 和基于库 ( LibraryBased) 的设计的特点,因此设计人员可不必了解硬件结构。从系统设计入手,在顶层进行系统方框图的划分和结构设计, 在方框图一级用 VHDL 对电路的行为进行描述,并进行仿真和纠错,然后在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体的CPLD 器件中去,从而实现可编程的专用集成电路(ASIC )的设计。

15、用VHDL 语言进行数字逻辑电路和数字系统的设计, 是电子电路设计方法上的一次革命性变革。与传统设计方法相比, 与其它硬件设计方法相比,用VHDL 进行工程设计的优点是多方面的:具有很强的行为描述能力,可支持大规模设计的分解和已有设计的再利用,可读性良好,便于发现错误及修改,使用其仿真器对 VHDL 源代码进行仿真允许设计者不依赖于器件, 容易发现设计中出现的问题。实现了设计与工艺无关,可移植性较好,面向市场时间快,成本低,性价比和 ASIC 移植等优点。1.2研究目的和意义如今随着时代和科技日异月新的发展和突破,彩灯作为一种景观应用越来越多,现实生活中 , 彩灯作为一种装饰和艺术渲染工具 ,

16、 起到很好的广告宣传作用 , 为人们增添了节日气氛 , 也为人们的生活增添了一道亮丽的色彩。在电子电路设计领域中,电子设计自动化(EDA)工具已成为主要的设计手段。它的发展给电子系统的设计带来了革命性的变化, EDA 软件设计工具,硬件描述语言,可编程逻辑器件( PLD)使得 EDA 技术的应用走向普及。现代生活中 , 彩灯已经成为必不可少的景观 , 本次设计本着与实际生活密切联系的原则 , 论述了使用 VHDL设计 32 路彩灯控制器的过程。随着科学技术的发展以及人民生活水平的提高,VHDL 是一种应用较为广泛的HDL 语言,能对范围广泛的各种复杂的网络在不同的抽象级加以描述,而且在整个设计

17、过程中可使用同一种语言。采用VHDL作为 HDL综合设计的优点有:标准语言,即设计者可在不同环境下进行设计;仿真和综合均可采用同一种语言进行; VHDL中提供的大量的资源模块, 简化了设计者的开发工作;由VHDL描述的源文件既是程序文件又可作为设计的文档。VHDL为设计提供了更大的灵活性,使程序具有更高的通用性。EDA 技术使得复杂的电子系统的设计变的简单易行,提高了设计效率,同时也提高了设计的灵活性、可靠性和可扩展性。伴随着计算机技术和微电子信息技术的飞速发展, EDA 技术在现代数字系统设计中必然将发挥越来越重要的作用,同时也为大学生更好地认识社会提供了很好的机会。2 设计内容基于可编程逻

18、辑器件CPLD芯片,配以适当的外围电路,设计一种32路 16 种变换花样的彩灯控制器,能以快慢两种频率循环变化,有清零开关。1、用 VHDL语言设计系统程序,并进行仿真测试;2、该系统具有16 种花型变化,演示的16 种花型自拟;3、系统演示以快慢两种频率(频率为0.25S和0.5S)循环变化;4、系统有清零开关;5、并设计其外围电路。2.1功能描述本次设计的32 路彩灯控制系统设定有十六种花样变化,整个系统共有三个输入信号:控制彩灯节奏快慢的基准时钟信号CLK , 系统清零信号CLR,彩灯频率快慢输出选择控制信号CHOSE_KEY;共有32 个输出信号LED31.0 ,分别用于控制 32 路

19、彩灯。在电路中以 1 代表灯亮,以 0 代表灯灭,由 0, 1 按不同的规律组合代表不同的灯光图案 , 同时选择不同的频率 , 从而实现了图案花样快慢功能的循环变化。在该电路中只需简单的修改程序就可以灵活地调整彩灯图案和变化方式。这十六种花样可以进行自动切换。整个 32 路彩灯控制器分为两个模块部分, 32 路彩灯时序控制模块和32 路彩灯显示控制模块。 时序控制模块由振荡器、频率发生器和地址码产生器组成。其中振动器的功能是提供系统工作的主时钟。频率发生器的功能是提供快、慢两种频率脉冲PH、 PL 即, 0.25S 的脉冲信号和0.5S 的脉冲信号,以此控制32 路彩灯的快慢节奏变化,使彩灯明

20、暗变换以快、慢两种频率自动交替运行。地址码产生器为频率发生器和(显示控制模块中的)编码电路提供控制信号, 同步整个系统的工作,并根据系统运行情况,送频率产生器反馈信号,控制频率按快慢两种自动交替运行。显示控制模块由编码发生器和驱动电路组成。显示控制模块的功能是使电路产生十六种不同花型并显示,以此实现本次课程设计要求实现的多路彩灯控制器的不同花型显示功能。其中编码发生器的功能是根据花型要求按频率输出 32 位状态编码信号,以控制彩灯按规律亮灭。驱动电路则提供彩灯工作所需的电压及电流 , 隔离负载对编码电路的影响。2.2设计原理用硬件描述语言 VHDL进行设计 , 首先应该明确 ,VHDL 语言作

21、为一种全方位硬件描述语言 , 它包括了系统行为级 , 寄存传输级和逻辑门级多个设计层次。运用“自顶向下”的设计方法和层次化的设计概念来设计复杂的数字系统是十分有效地一种手段,它使得人们可以将复杂的单元转变成较简单的单元 , 从而逐渐构建成复杂而庞大的集成系统。根据系统设计要求可知,整个系统的三个输入信号:控制彩灯节奏快慢的基准时钟信号CLK,系统清零信号CLR,彩灯输出选择控制信号CHOSE_KEY;共有 32 个输出信号 LED31.0 ,分别用于控制32 路彩灯。我将整个彩灯控制电路分为了两大模块:时序控制电路模块SXKZ和显示控制电路模块XSKZ。32 路彩灯控制系统工作原理如下:时序控

22、制电路模块SXKZ根据输入信号 CLK,产生的符合要求的、供显示控制电路模块XSKZ使用的控制时钟信号,而显示控制电路模块XSKZ则根据时序控制电路模块SXKZ 输入的控制时钟信号,输出十六种花型循环变化,这些控制信号加上驱动电路一起控制彩灯工作。首先应对系统进行模块的划分, 规定每一模块的功能以及各个模块之间的接口。整个32 路彩灯控制系统设计的结构框图如下所示。图 1. 32路彩灯控制结构框图3 模块设计及其功能3.1模块功能描述时序控制模块的组成模块:振荡器、频率发生器、地址码产生器。时序控制模块的时钟信号CLK 由外部输入至频率发生器, 频率发生器产生由快慢两种脉冲合成输入频率信号到地

23、址码产生器, 再由地址码产生器输出选择控制信号CHOSE_KEY到频率发生器 , 控制快慢频率的转换。地址码产生器为频率发生器和编码电路提供控制信号, 同步整个系统的工作,地址码产生器输出信号控制编码电路的各个子模块交替工作, 以高、低电平控制彩灯的亮灭 , 按频率改变送给各路的高、低电平,即l 、 0 编码,产生16 种不同花型 , 再由驱动电路将信号输出到彩灯。CLR为清零信号 , 由外部输入到地址码产生器 , CLR=1 时 , 系统回到等待状态 ;CLR=0时 , 系统工作。显示控制模块的组成模块:编码发生器和驱动电路。编码发生器:根据花型要求按频率输出 32 位状态编码信号,以控制彩

24、灯按规律亮灭。驱动电路提供彩灯工作所需的电压及电流 , 隔离负载对系统工作的影响。3.2时序控制电路设计时序控制模块是本程序的时钟信号选择模块, 它的功能是为系统提供快、慢两种频率脉冲,快频率 0.25 秒、慢频率 0.5 秒,以此控制 32 路彩灯的快慢节奏变化。时序控制模块在本电路中起着至关重要的作用,它以彩灯闪动快慢节奏的变化实现了多路彩灯绚丽多彩的花型节奏变化。时序控制电路是整个电路中一个分模块,它的设计对32 路彩灯控制器设计的顺利完成起着决定性的作用。快频率由振荡器直接传入,慢频率将振荡器输出的频率进行二分频得到。频率产生器输出频率脉冲clkout送地址码产生器。频率选择信号cho

25、se_key 由地址码产生器产生,输入到频率发生器,控制快慢两种脉冲的选择,若第一次chose_key 为低电平,则花型循环输出为慢频率,第二次 chose_key 变为高电平,花型循环输出为快频率,第三次chose_key 又变为低电平,如此循环反复。3.2.1振荡器振荡器提供系统工作的主时钟。因彩灯控制器对定时要求不高,故选用简单易行的555 定时振荡器。系统彩灯明暗变换节拍为0.25秒和 0.5秒,电路原理图如图2 所示。 555 定时器构成的多谐振荡器由3 脚输出脉冲频率。我们使振荡器振荡频率为f = 4Hz, 图中电阻 R1=8K,Rw=4.7K,R2=47K,电容 C1=3.3 u

26、F, 振荡频率输出端OUT送“频率产生器”的输入端。图 2.振荡器原理 图3.2.2频率发生器频率发生器为系统提供快、慢两种频率脉冲,快频率0.25秒、慢频率 0.5 秒。快频率直接由振荡器产生的频率传入, 慢频率由快频率进行二分频得到。频率产生器输出频率脉冲 clkout 送地址码产生器。频率选择信号 chose_key 由地址码产生器产生,输入到频率发生器,控制快慢两种脉冲的选择。当 chose_key 为低电平,则花型循环输出为慢频率,当chose_key 变为高电平,花型循环输出为快频率。以此类推,高低电平循环变化,从而达到快慢频率交替循环。该模块 VHDL 程序如下:library

27、ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity pinlv is-频率产生器port ( clk: in std_logic;- 传入分频器频率chose_key : in std_logic;-频率选择信号clkout : out std_logic);-输出频率end entity pinlv;architecture one of pinlv issignal cnt: std_logic;signal cllk: std_logic;beginf1: process(clk,chose_ke

28、y,cnt,cllk)- 将 clk 时钟二分频,得到频率为 0.5 秒的慢频率beginif clk'event and clk = '1'thencnt <= not cnt;end if;if chose_key='1' thencllk<=clk;elsecllk<=cnt;end if;clkout<=cllk;end process;end;图 3.频率发生器模块器件图3.2.3地址码产生器地址码产生器为频率发生器和编码电路提供控制信号, 是整个系统重要组成部分。该部分电路组成部分为地址计数器和cnt 信号分频控制。其

29、中地址计数器利用进程P1: process(clkout,clr),根据频率产生器提供的频率频 clkout 产生地址码,完成地址累加,实现预定花型的循环显示。清零信号 clr由外部输入到地址码产生器, 当 clr =1时 ,系统回到等待状态;clr=0时, 系统工作。 cnt信号记录系统运行的情况,32路彩灯控制器运行16种花型,花型循环一周共需 272次,地址计数器将地址码累加到 272, cnt 值为 1;地址码为其它值时, cnt 值为 0,利用进程 P2:process( cnt 将)cnt信号进行二分频, 使输出花型在第一次循环时, 若频率选择信号 chose_key 为低电平,则

30、第二次花型循环时, chose_key为高电平,第三次花型循环,chose_key又为低电平, 如此循环反复。 地址码产生器产生的频率控制信号chose_key输入频率发生器,用来控制快慢两种脉冲频率。该模块 VHDL程序如下library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity dzmcsq is -地址码产生器 ;port ( clkout: in std_logic; -频率脉冲信号,由频率产生器传入 clr:in std_logic;chose_key: out std_logic;

31、频-率选择信号,送频率产生器dout : out integer range 0 to 272);- 地址码输出, 16 种花型运行一次272次end entity dzmcsq;architecture one of dzmcsq issignal count: integer range 0 to 272;signal cnt: std_logic;beginP1: process(clkout,clr) -产生地址码beginif clr='1'thencount<=0;elsif count=272 thencount <= 0;cnt <= '

32、;1' -16 种花型运行一次共272 次elsif clkout'event and clkout='1' thencount <= count + 1;cnt <= '0'end if;end process;P2:process(cnt ) -将 cnt 信号进行二分频,产生频率选择信号chose_key值variable count1 : std_logic;beginif cnt'event and cnt = '1'thencount1 :=not count1;end if;if count1 =

33、 '1' thenchose_key<= '1'elsechose_key<= '0'end if;end process;dout <= count;end;图 4.地址码产生器模块器件图3.3显示控制电路设计显示控制电路的模块框图如图所示,输入信号CLK和 CLR的定义与时序控制电路一样,输入信号led31.0能够循环输出 32 路彩灯 16 种不同状态的花型。对状态的所对应的彩灯输出花型定义如下:图 5.地址码产生器模块器件图32 路彩灯在多种花型之间的转换可以通过频率的改变而实现,当复位信号 CLR有效时,彩灯恢复初始状

34、态 s0 ,否则,每个时钟周期,状态都将向下一个状态发生改变,并对应输出的花型,这里的时钟周期即时时序控制电路模块产生的输出信号,它根据 CHOSE_KEY信号的不同得到两种快慢不同的时钟频率。3.3.1编码发生器编码电路根据花型要求按频率输出32 位状态编码信号 ,以控制彩灯按规律亮灭。地址码产生器将输出的控制信号送入编码发生器,编码发生器根据高、低电平控制灯的亮灭,即l 、 0 编码,共产生 16 种花型。该模块 VHDL 程序如下library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity

35、bmfsq isport ( dout: in integer range 0 to 272;qout : out std_logic_vector(31 downto 0);-输出 32 位状态编码end entity bmfsq;architecture one of bmfsq isbeginprocess(dout)begincase dout isWhen 0 => qout <= ""-S1when 1 => qout <= ""when 2 => qout <= ""when 3 =&

36、gt; qout <= ""when 4 => qout <= ""when 5=> qout <= ""when 6 => qout <= ""when 7 => qout <= ""when 8 => qout <= ""when 9 => qout <= ""when 10 => qout <= ""When 11 => qout &l

37、t;= ""When 12 => qout <= ""When 13 => qout <= ""When 14 => qout <= ""When 15 => qout <= ""When 16 => qout <= ""when 17 => qout <= ""-S2when 18 => qout <= ""when 19 => qout <

38、;= ""when 20 => qout <= ""when 21 => qout <= ""when 22 => qout <= ""when 23 => qout <= ""when 24 => qout <= ""when 25 => qout <= ""when 26 => qout <= ""when 27 => qout <= &

39、quot;"when 28 => qout <= ""when 29 => qout <= ""when 30 => qout <= ""when 31 => qout <= ""When 32 => qout <= ""when 33 => qout <= ""when 34 => qout <= ""-S3when 35 => qout <= &q

40、uot;"When 36 => qout <= ""when 37 => qout <= ""when 38 => qout <= ""when 39 => qout <= ""when 40 => qout <= ""when 41 => qout <= ""when 42 => qout <= ""when 43 => qout <= "

41、"when 44 => qout <= ""when 45 => qout <= ""when 46 => qout <= ""when 47 => qout <= ""when 48 => qout <= ""When 49 => qout <= ""when 50 => qout <= ""when 51 => qout <= "&quo

42、t;-S4when 52 => qout <= ""when 53 => qout <= ""when 54 => qout <= ""when 55 => qout <= ""when 56 => qout <= ""when 57 => qout <= ""when 58 => qout <= ""when 59 => qout <= ""

43、;when 60 => qout <= ""when 61 => qout <= ""when 62 => qout <= ""when 63 => qout <= ""when 64 => qout <= ""when 65 => qout <= ""when 66 => qout <= ""When 67 => qout <= ""whe

44、n 68 => qout <= ""-S5when 69 => qout <= ""when 70 => qout <= ""when 71 => qout <= ""when 72 => qout <= ""when 73 => qout <= ""when 74 => qout <= ""when 75 => qout <= ""when

45、 76 => qout <= ""when 77 => qout <= ""when 78 => qout <= ""when 79 => qout <= ""when 80 => qout <= ""When 81 => qout <= ""When 82 => qout <= ""When 83 => qout <= ""when 84

46、=> qout <= ""when 85 => qout <= ""-S6when 86 => qout <= ""when 87 => qout <= ""when 88 => qout <= ""when 89 => qout <= ""when 90 => qout <= ""when 91 => qout <= ""when 92 =

47、> qout <= ""when 93 => qout <= ""when 94 => qout <= ""when 95 => qout <= ""when 96 => qout <= ""when 97 => qout <= ""When 98 => qout <= ""When 99 => qout <= ""When 100 =>

48、; qout <= ""When 101 => qout <= ""when 102 => qout <= ""-S7when 103 => qout <= ""when 104 => qout <= ""When 105 => qout <= ""when 106 => qout <= ""when 107 => qout <= ""when 1

49、08 => qout <= ""when 109 => qout <= ""when 110 => qout <= ""when 111 => qout <= ""when 112 => qout <= ""When 113 => qout <= ""When 114 => qout <= ""When 115 => qout <= ""Wh

50、en 116 => qout <= ""When 117 => qout <= ""When 118 => qout <= ""when 119 => qout <= ""-S8when 120 => qout <= ""when 121 => qout <= ""when 123 => qout <= ""when 124 => qout <= "&

51、quot;when 125 => qout <= ""when 126 => qout <= ""when 127 => qout <= ""when 128 => qout <= ""when 129 => qout <= ""When 130 => qout <= ""When 131 => qout <= ""When 132 => qout <= &qu

52、ot;"When 133 => qout <= ""When 134 => qout <= ""When 135 => qout <= ""When 136 => qout <= ""When 137 => qout <= ""-S9when 138 => qout <= ""when 139 => qout <= ""when 140 => qout &l

53、t;= ""when 141 => qout <= ""when 142 => qout <= ""when 143 => qout <= ""when 144 => qout <= ""when 145 => qout <= ""when 146 => qout <= ""when 147 => qout <= ""when 148 => qou

54、t <= ""When 149 => qout <= ""When 150 => qout <= ""When 151 => qout <= ""When 152 => qout <= ""When 153 => qout <= ""when 154 => qout <= ""-S10when 155 => qout <= ""when 156 =

55、> qout <= ""when 157 => qout <= ""when 158 => qout <= ""when 159 => qout <= ""when 160 => qout <= ""when 161 => qout <= ""when 162 => qout <= ""when 163 => qout <= ""when 1

56、64 => qout <= ""when 165 => qout <= ""when 168 => qout <= ""when 169 => qout <= ""When 170 => qout <= ""When 171 => qout <= ""When 172 => qout <= ""When 173 => qout <= ""When 174 => qout

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论