(完整word版)三人表决器较好_第1页
(完整word版)三人表决器较好_第2页
免费预览已结束,剩余7页可下载查看

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、课程设计任务书、设计题目:三人表决器二、设计 的主要内容:举重运动设三名裁判,每个裁判分 别判断选手的试举情况,成功点亮白灯,失败点亮红灯有两 盏或两盏以上的白灯则选手试举成功,否则失败。电源部分 采用三端稳压器即可,逻辑部分可以采用任何逻辑门电路,显示部分采用发光二极管即可,无需采用电流放大器。需要 使用的芯片资料上网查。图手绘,无需使用电脑绘图软件。2. 学出电路的工作原理,使用说明。3.要求在输出端使用红、 白灯表示选手的最终试举结果,成功亮白灯,失败亮红灯。指导教师:_日 期:_教师评语:评阅成绩:_评阅人:_日 期:_三人表决器,是投票系统中的客户端,是一种代表投票或举 手表决的表决

2、装置。表决时,裁判只要按动各自表决器上 赞 成”反对”弃权”的某一按钮,荧光屏上即显示出表决结果。 在三人表决器中三个人分别用手指拨动开关SW1、SW2、SW3来表示自己的意愿,如果对某决议同意,各人就把自己的指拨 开关拨到高电平(上方),不同意就把自己的指拨开关拨到低 电平(下方)。表决结果用LED(高电平亮)显示,如果决议通 过那么实验板上白灯(L2)亮;如果不通过那么实验板上红灯(L1)亮;如果对某个决议有任意二到三人同意,那么此决议通 过,白灯(L2)亮;如果对某个决议只有一个人或没人同意,那 么此决议不通过,红灯(L1)亮。关键词:投票系统系统,表决结果,决议通过第 1 章二人表决器

3、概述1.1三人表决器的功能描述三个人分别用手指拨动开关SW1、SW2、SW3来表示自己的意愿, 如果对某决议同意,各人就把自己的指拨开关拨到高电平(上方), 不同意就把自己的指拨开关拨到低电平(下方)。表决结果用LED(高 电平亮)显示,如果决议通过那么实验板上白灯亮;如果不通过那么 实验板上红灯亮;如果对某个决议有任意二到三人同意,那么此决议 通过,白灯亮;如果对某个决议只有一个人或没人同意,那么此决议 不通过,红灯亮1.2三人表决器”的逻辑功能表决结果与多数人意见相同。设X0、X1、X2为三个人(输入逻辑变量),通过为1,不通过为0;Y0为表决结果(输出逻辑变量),多数通过丫0为1,否则,

4、丫0为0.其 真值表如表1所示。表1“三人表决器”真值表输入逻辑变量输出逻辑变量X0X1X2Y000000010010001111000101111011111其中X0, X1 , X2为输入表决信号即为sw1 , sw2, sw3指示灯,丫0为输出结果即LED灯由真值表写出逻辑表达式并化简得:丫0=X0*X1+X0*X2+X1*X2第 2 章二人表决器设计思想2.1 组合逻辑电路的分析2.1.1 组合逻辑电路的分析从真值表发现:输入变量A,B,C中有两个或两个以上为一,则输出 为1,从而总结电路功能:三人表决电路。2.1. 2 分析组合逻辑电路的一般步骤由逻辑图写出各输出端的逻辑表达式;化简

5、和变换各逻辑表达式;列出真值表;根据真值表和逻辑表达式对逻辑电路进行分析,最后确定其功能2.2该设计的设计思路设A、B、C为三个人,赞成为1,不赞成为0,丫0为表决结果,多数赞成丫0为1,否则,丫0为0。画出逻辑图,列出真值表,出路基 表达式。第 3 章设计逻辑图及仿真1.设计逻辑图投票人通过sw1,sw2,sw3输入信号灯的开关,向X0,X1,X2输入表决能容,通过L1 ,L2表示出表示结果,如图3-12.设计仿真图当表决人的有两人及三人表决赞同时即真值为1是,输出y的结果为赞同即真值为1,反之则为反对,真值为0.如图3-2it iiITOh i膜弦OUk 0 &i -;i rH ;

6、 0 | ? I1iirin图3-23 程 序的 应 用将程序语句写入到PLC中,再进行接线:用三个开关分别控制X0、X1、X2, 用 一 盏 指 示 灯来 显 示 表 决 结 果 , 并 将COM1连 接 到24V直 流 电 源 的正 极 。接 线 完毕 就 可以 进 行 演 示 实验 的 操作 了 。如 果赞 成, 则 合 上 开 关 ;如果 不 赞成 , 则断 开 开关 。指 示 灯的 亮 灭, 显 示的 是 表决 的结 果。灯 亮表 示 多数 赞成, 灯 不亮 , 则 表示多 数不赞 成 。表决 结果 与 多 数人 意 见相同 。下 面 探讨 一下由“逻 辑 表达 式”来 编写PLC程

7、 序 的 规 律 。一 般 书 上 用A、B、C表 示 输 入 逻 辑 变 量 ,用Y表 示 输出 逻 辑 变 量 。 在这里为了编程的方便,我们有意把PLC的输入继电器(X)的触点 作为 输入 逻辑 变量 , 把 输 出继 电器 的 线 圈作为 输 出逻 辑 变量 。例如 ,在 表 达 式(1) 中 ,X0、X1、X2为 三 个 输 入 逻 辑变 量 , 代 表 三 个人 ,Y0为 输 出 逻 辑变量 , 代 表 表决 结果。 同 时在PLC中 ,X0、X1、X2又 是三 个输 入 继 电 器 ,都是 输 入 继电 器 的 常开触点 ;Y0是 一 个 输出 继电 器 , 是输 出 继 电 器

8、的 一 个 线 圈 。式(1)是 一 个“ 与 或式”, 在 第一项X0*X1中 ,“X0” 在 项 首 , 用 LD指 令,即LD X0,“*” 是 “ 与 ” 逻 辑,用 AND指 令, 即AND X1。 第二 项、 第 三 项也 是 这个 规 律, 三 项 相加 ,“+”是“ 或 ”逻 辑, 用ORB指令 , ORB指令是“块 或 ”指 令。 因为 每 一个 “ 与项 ”都 是两 个 触 点 相 串联 的 “串 联 电 路 块 ”, 而 “ 相 加”就 是作并 联连 接 , 即“ 串联 电 路 块 ”作 并联连 接 , 所 以 要用 “ 块 或”指令。丫0是输出,用线圈输出指令OUT,即

9、OUT Y0。程序结束 用 END指 令 。library i eee;use ieee.std_logic_1164. all;use ieee. std_logic_unsigned. all; entity bjq3 i sport (a,b,c:in std_logi c;y:ou t std_logic);end;archi tecture one of bjq3 isbeginy =(a and b ) or ( a and c) o r (b and c );end;library i eee;use ieee.std_logic_1164. all;use ieee. std_

10、logic_unsigned. all; entity bjq3 i sport (a,b,c:in std_logi c;y:ou t std_logic);end;archi tecture one of bjq3 issignal m :std_logic_vector(2 downto 0);beginm = a & b & c ;y=0 when (m= 000)or(m=001)or(m=010)or(m=100 ) el se1;end;library i eee;use ieee.std_logic_1164. all;use ieee. std_logic_u

11、nsigned. all; entity bjq3 i sport (a,b,c:in std_logi c;y:ou t std_logic);end;archi tecture one of bjq3 issignal m: std_logic_vector( 2 dow nto 0); beginm=a& b& c;wi th m sel ectyy y= 1;en d ca se ;end pr ocess;end; library i eee;use ieee.std_logic_1164. all;use ieee. std_logic_unsigned. all;

12、 entity bjq3 i sport (m:in std_l ogi c_vector(2 downto 0); y:ou t std_logic);end;archi tecture one of bjq3 is beginpr ocess (m)b eg i ni f m= 000 then y= 0;el si f m=001 theny =0el si f m= 010 theny=0el si f m= 011 theny=1el si f m= 100 theny=0el si f m= 101 theny=1el si f m= 11 0 theny=1el si f m= 111 theny= 1end i f ; end proc ess;end;这次课程设计是在学习完数字电路、模拟电路、的相关课程之后进行的。通 过本次课程设计我感到受益匪浅,在这次课程设计中,我学会了对

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论