EDA技术在独立学院数字电子技术实验教学中的应用_第1页
EDA技术在独立学院数字电子技术实验教学中的应用_第2页
EDA技术在独立学院数字电子技术实验教学中的应用_第3页
EDA技术在独立学院数字电子技术实验教学中的应用_第4页
EDA技术在独立学院数字电子技术实验教学中的应用_第5页
免费预览已结束,剩余2页可下载查看

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、    eda技术在独立学院数字电子技术实验教学中的应用    伍艳琼+潘宇摘 要: 將eda技术应用于数字电子技术实验教学,提高了实验过程的可操作性,通过编程使学生对逻辑关系有更深入的理解,有利于独立学院对应用型人才的培养。本文介绍了eda技术的设计流程,并通过用于驱动共阴极七段数码管的显示译码器作为设计实例,阐述了eda技术在数字电子技术实验教学的应用。关键词: eda技术;quartus ii;数字电子技术;显示译码器数字电子技术是通信工程、电子信息工程、自动化等专业的专业基础课,具有逻辑性强、内容抽象、理论与实践紧密结合等特点。传统的数字电子技术

2、的实验教学,主要以实验箱为平台,通过选择芯片类型,完成简单的电路接线来验证、分析数字电路的功能1。这种实验方法尽管直观,但不利于学生理解电路的设计思路和方法,更由于实验室芯片类型有限,从而在一定程度上影响了学生的实践和创新能力的培养。而独立学院定位为培养高层次应用型人才,工科专业应用型人才培养应注重培养过程的开放性与实践性,注重培养学生实践和创新能力2。而电子设计自动化技术(electronic design automation,eda) 以计算机为工具,设计者在eda软件平台上(quartus ii、max +plus ii、proteus、multisim 8等),通过逻辑电路图或硬件描

3、述语言hdl完成设计文件,然后由计算机完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真, 直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作3-5。若采用逻辑电路图作为设计输入,则很好地解决了传统的实验教学中芯片类型受限的问题;如采用硬件描述语言作为设计输入,则更有利于学生理解逻辑关系。因此,eda技术在数字电子技术实验中应用,更能满足独立学院对应用型人才培养的需要。1 eda设计流程eda技术作为现代电子设计技术的核心,被各类库所支持,能够完成各种自动设计过程,大大降低设计成本、缩短设计周期。eda设计流程是自顶向下的设计方法,图1是基于eda软件的fpga/cpld开发流程框图

4、6。1.1设计输入将设计项目的功能要求以一定的方式输入计算机,通常以图形输入、hdl文本输入作为输入方法。1.2综合综合将电路的高级语言转换成低级的,可与fpga/cpld的基本结构相映射的网表文件或程序,把软件设计的hdl描述与硬件结构挂钩,是软件转化为硬件电路的关键步骤。1.3适配将网表文件配置给指定的目标器件,产生下载文件,如jedec或sof等格式的文件。1.4仿真在编程下载前必须对适配生成的结果进行模拟测试 ,以验证设计、排除错误。通常有两种不同级别的仿真测试:时序仿真和功能仿真。1)时序仿真,仿真文件包含了器件硬件特性参数,如精确的硬件延迟信息,接近真实器件运行特性,仿真精度高。2

5、)功能仿真,直接测试hdl、原理图或其他描述形式的逻辑功能,验证是否满足设计要求,而不涉及任何具体器件的硬件特性。1.5编程下载及硬件测试将适配后生成的下载或配置文件通过编程器或编程电缆向fpga或cpld下载,并进行硬件调试和验证,以排除错误、改进设计。2 eda技术在数字电子技术实验教学中的应用实例以基于altera公司的cyclone ii系列的ep2c5q208c8实验板和quartus ii 8.1 设计一个用于驱动共阴极七段数码管的显示译码器为例,来讨论eda技术在数字电子技术实验中的具体应用。2.1 七段数码管用七个发光二极管按图2结构排列即构成七段数码管,当二极管的阳极和阴极间

6、压降大于二极管导通电压时,二极管导通发光;反之截止。图3为共阴极接法,能驱动显示段发光的电平为高电平1。例如,当显示译码器输入为“1011”时,可设计将其显示为“b”,对应的显示译码器输出便为“0011111”,由此可列出显示译码器的真值表。2.2 用vhdl实现显示译码器的设计根据真值表,共阴极显示译码器vhdl代码如下:library ieee;use ieee.std_logic_1164.all;entity dec7s isport(a3,a2,a1,a0: in bit;ya,yb,yc,yd,ye,yf,yg: buffer bit);end;architecture one o

7、f dec7s isbeginprocess(a3,a2,a1,a0)variable a : bit_vector(3 downto 0);variable y : bit_vector(6 downto 0);begina := (a3&a2&a1&a0);y := (ya&yb&yc&yd&ye&yf&yg);case a iswhen "0000"=> y:="1111110";when "0001"=> y:="0110000&qu

8、ot;;when "0010"=> y:="1101101";when "0011"=> y:="1111001";when "0100"=> y:="0110011";when "0101"=> y:="1011011";when "0110"=> y:="1011111"; when "0111"=> y:="1110000&q

9、uot;;when "1000"=> y:="1111111";when "1001"=> y:="1111011";when "1010"=> y:="1110111";when "1011"=> y:="0011111";when "1100"=> y:="1001110";when "1101"=> y:="0111101&q

10、uot;;when "1110"=> y:="1001111";when "1111"=> y:="1000111";when others=>null;end case; ya<=y(6);yb<=y(5);yc<=y(4);yd<=y(3);ye<=y(2);yf<=y(1);yg<=y(0);end process;end one;2.3显示译码器的时序仿真由图4验证仿真时间為19.2us处时,输入a3a2a1a0=“1001”时,yayg为“111

11、1011”,即显示9;并可依次验证其他数值显示均正确。2.4显示译码器的硬件测试本测试在cyclone ii系列的ep2c5q208c8芯片上完成,将引脚锁定至芯片并进行编程下载后,将显示译码器输入a3a2a1a0分别连接拨码开关k4k3k2k1,输出yayg分别连接ag,便可通过设定k4k3k2k1的取值,依次验证译码的正确性。3结束语将eda技术应用至独立学院数字电子技术实验中,不仅解决了传统实验教学芯片类型受限的问题,通过利用vhdl或verilog hdl等硬件描述语言设计器件,还锻炼了学生的逻辑思维和硬件描述语言表达能力,能更深入理解器件的工作原理,最后编程下载到fpga中进行硬件测试,进一步加深学生对实验的理解,从而大大提高教学效果和效率,也更能体现独立学院注重培养学生的实践和创新能力。参考文献1 张广华.eda技术与数字电子技术教学的有机整合j.福建商业高等专科学校学报,2010,10(5):47-53.2 仲一虎.内涵建设下的独立学院工科应用型人才培养质量保障路径探析j.吉林省教育学院学报,2016,10(32):132-134.3 王彩凤,胡波,李卫兵,杜玉杰.eda技术在数字电子技术实验中的应用j.实验科学与技术,2011,2(

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论