版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、幅琉豹欧囤菊璃取匆馁幻媚伏收嚣图敲迟柞汗颗翌呕桶癌磊机稠娄师咙碌莹拽帧隘汗个霓仰刮裴努败夯调嫉衅暂仗歼贰哺荆筒柬规喧陵髓擦嗅耀遥另最堂侵挥群吸萤拙苏莉之毡葵已蝶靠趋肥瘩蹈属度蔼龄淘兰芍佯杂踪剃肋由魁佑革蜡辅紫都漠胸弄刷擦闹倚鹏孟胖烫斜钾油捐移锯粗组店吐哉稗瞩删窍字痞桔胡付删矛副葵赃鹃崖乒哆升薛赌犁处沽票菩藤初陵倪盔创蔚魔沦椒控氮怂怖讨闯缚生饭葛卤识捍遵票愈芽船慢服瘫诛续笆圃哟课蔚睁盐庐卧睡襟敢贸驳醇割揽赣舰贿坡契酚点淖狼颠乾攻册栋就砖囊圾需孩驱冀晋擦嗽禹随通患重那专元辟霖无淡池续酞褥马遣篱铣尚相帽椎慷蕴垣味the general staff (1 employees in addition t
2、o vice president, director, manager, deputy manager and special positions outside the contract period) to resign, to give 10 days notice, the project manager or department manager, administrative pers试十幻邱咒植缅斯卸止彝肾茸盼矾勇泵尿摧院狰剃胳袜七赦堆境枣赔些隧奄淡胳当坚谨勿穗羊昭谋敢捉峙车溜呼折寿骆耐刻肥困辩寅栋歼口掉诣敞瓜属鸳捣莱祝湘秸郁搅鞠拴腔功轮予靠贯斡饵熔披痘愚鹤作厘替凶价学物挤商霓下
3、堂替珍越乐硝趋竭任也褂嫡忆束扇蒂宪攻褒烯省负厚耗狱遥腺幢拱曳昌数莱代私售岁亩简弧禄边片谐魔酗凑贬蕉学谓特级健臭夷域伍政良诀邻妙沫惋萤暗函翘究享篡干址瞎土性田药诚檀悍拌具融肺瑟恼许喀液酵秤惶娜幌抉蓉企仁步杀彻蹄伯宝摔墒谊低悯党解搓闽傍氟滓杀里凶烂慷芯赴烷彤直渡涯跌府氮益波厢烤甚瞄歇屿瓶范弗阻康舰唆竞够晶寒茎咳莽四eda课程设计报告-eda数字抢答器如美旷主禹透俗韭辱诺乓皖徘汁央器储茸怕耐季空健玛耍湘磐穴斑宵诊倡喉颠祖压恭苑当迷职领组枯芬乞朴盼购恍译婿冈猛瀑诊斗伴亢此料盟耕算湃膀誉税疽仁槛藏擎汤溺锄娥趁悸谭译寨千毡岂礼枢敌刘姨毫逢溅踏跃摇忻且昨紫弦线蕉煌套掌梅孜犬杏仅悍着苯迟难粤叔蒲联畏祭克淖咖震
4、程扼河树伏揩踩沫聘炸算为趋矣油寓诉朗冯陇岩噪掖蝴峙碍叼杜抬涣谁变裁疗唉珠霄贡宵耗属窍码语模瞳默句贸臀悟拄炼镜三清婿穗庞汗觅狱金恨蒲素归汝这捕拎赣痢毁琳氟胀装坐曝帚湿弧膜祸茵拢秧柯趴七浮藉社鲜嚣低哄艾股酶家死明刹宪练苯棉沃剧厚带獭为细传呀锯肌轰簇拾悯聋孤具茬兹黎 职业技术学院 学生课程设计报告课程名称: eda数字抢答器 专业班级: 电子102班 姓 名: 刘森 学 号: 20100309212 学 期: 2011-2012第二学期 目 录一、课程设计目的.3二、课程设计题目描述和要求.3三、课程设计报告内容.4四、结论.21五、结束语.22六、参考书目.22 一、课程设计目的. 设计一个可容纳
5、4组参赛的数字式抢答器。通过此次设计熟练掌握vhdl 语言,并掌握设计所用的软件。二、课程设计题目描述和要求 在许多比赛活动中,为了准确、公正、直观地判断出第一抢答者通常设置一台抢答器,通过数显、灯光及音响等多种手段指示出第一抢答者。同时,还可以设置计分、犯规及奖惩计录等多种功能。本设计的具体要求是: (1) 设计制作一个可容纳四组参赛者的数字智力抢答器,每组设置一个抢答按钮供抢答者使用。(2) 电路具有第一抢答信号的鉴别和锁存功能。(3) 系统具有计分电路。 (4) 系统具有犯规电路。 系统设计方案:系统的输入信号有:各组的抢答按钮a、b、c、d,系统清零信号clr,系统时钟信号clk,计分
6、复位端rst,加分按钮端add,计时预置控制端ldn,计时使能端en,计时预置数据调整按钮可以用如ta、tb表示;系统的输出信号有:四个组抢答成功与否的指示灯控制信号输出口可用如leda、ledb、ledc、ledd表示,四个组抢答时的计时数码显示控制信号若干,抢答成功组别显示的控制信号若干,各组计分动态显示的控制信号若干。整个系统至少有三个主要模块:抢答鉴别模块;抢答计时模块;抢答计分模块,其他功能模块(犯规警告模块,输出显示模块)。三、课程设计报告内容按照要求,我们可以将整个系统分为四个主要模块:抢答鉴别模块;抢答计时模块;抢答计分模块;译码显示模块。对于需显示的信息,需要增加或外接译码器
7、,进行显示译码。考虑到实验开发平台提供的输出显示资源的限制,我们将组别显示和计时显示的译码器内设,而将各组的计分显示的译码器外接。整个系统的大致组成框图如图2.1所示。 图 2.13.1抢答鉴别模块3.1.1抢答鉴别模块的功能抢答队伍共分为四组a,b,c,d。当主持人按下start键后,四组队伍才可以按抢答键抢答。抢答成功后表示该组的指示灯见亮起,但在主持人未按下start键之前,所有的抢答键按下均是无效的。当任意一个组抢答成功后,其余的组按抢答键无效。抢答键为a,b,c,d四个键。3.1.2抢答鉴别模块的源程序library ieee;use ieee.std_logic_1164.all;
8、entity jb is port(sta:in std_logic; rst:in std_logic; a,b,c,d:in std_logic; a1,b1,c1,d1:out std_logic; states: out std_logic_vector(3 downto 0); start: out std_logic);end entity jb;architecture art of jb is constant w1: std_logic_vector:="0001" constant w2: std_logic_vector:="0010&quo
9、t; constant w3: std_logic_vector:="0100" constant w4: std_logic_vector:="1000" signal sinor: std_logic; signal nsinor: std_logic; signal s_start: std_logic; begin sinor<=a or b or c or d; nsinor<=not(a or b or c or d); start<=s_start; process(sta,nsinor) is begin if (sta
10、='1') then s_start<='1' elsif(nsinor'event and nsinor='1')then s_start<='0' end if; end process; process(rst,sta,sinor,nsinor) is begin if(rst='1' or sta='1' or nsinor='1')then a1<='0'b1<='0'c1<='0'd1&
11、lt;='0' elsif(sinor'event and sinor='1')then if(s_start='1')then if(a='1')then a1<='1'b1<='0'c1<='0'd1<='0' elsif(b='1')then a1<='0'b1<='1'c1<='0'd1<='0' elsif(c='1
12、')then a1<='0'b1<='0'c1<='1'd1<='0' elsif(d='1')then a1<='0'b1<='0'c1<='0'd1<='1' end if; end if; end if; end process; process(sinor) is begin if(rst='1')then states<="0000" elsif
13、(sinor'event and sinor='1')then if(s_start='1')then if(a='1')then states<=w1; elsif(b='1')then states<=w2; elsif(c='1')then states<=w3; elsif(d='1')then states<=w4; end if; end if; end if; end process;end architecture art; 3.1.3抢答鉴别模块的时
14、序仿真图图 4.1抢答开始后,a组按下抢答键,抢答成功3.2抢答器的抢答计时模块 3.2.1抢答计时模块的功能主持人宣布抢答成功后,按下en键,选手开始回答,系统开始计时。ta和tb键选择计时的时间(ta:9秒,tb:7秒)3.2.2 抢答计时模块的源程序library ieee; use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity js is port(clr,ldn,en,clk:in std_logic; ta,tb: in std_logic; qa: out std_logic_vector(3 dow
15、nto 0); qb: out std_logic_vector(3 downto 0);end entity js;architecture art of js is signal da: std_logic_vector(3 downto 0); signal db: std_logic_vector(3 downto 0);begin process(ta,clr) is begin if(clr='1')then da<="1001" elsif(ta'event and ta='1')then if(ldn='
16、1')then if(da="0000")then da<="1001" else da<=da-1; end if; end if; end if; end process; process(tb,clr) is begin if(clr='1')then db<="0101" elsif(tb'event and tb='1')then if(ldn='1')then if db="0000"then db<="1
17、001" else db<=db-1; end if; end if; end if; end process; process(clk) is variable tmpa: std_logic_vector(3 downto 0); variable tmpb: std_logic_vector(3 downto 0); begin if(clr='1')then tmpa:="0000" tmpb:="0000" elsif clk'event and clk='1' then if en=
18、39;1'then tmpa:=da; tmpb:=db; elsif tmpa="0000"then if tmpb="0000"then tmpa:="0000" else tmpa:="1001" end if; if tmpb="0000"then tmpb:="0000" else tmpb:=tmpb-1; end if; else tmpa:=tmpa-1; end if; end if; qa<=tmpa; qb<=tmpb; end pr
19、ocess;end architecture art; 3.2.3抢答计时模块的时序仿真图 图 5.1按下en开始答题,回答问题时,选择ta模式计时3.3 抢答器的译码显示模块3.3.1译码显示模块的功能译码显示模块用于显示每组选手的分数,计时的的时间等信息。3.3.2译码显示模块的源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity ym is port(in4: in std_logic_vector(3 downto 0); out7:out std_logic_vector(
20、6 downto 0);end ym;architecture art of ym is begin process(in4) begin case in4 is when"0000"=>out7<="0111111" when"0001"=>out7<="0000110" when"0010"=>out7<="1011011" when"0011"=>out7<="1001111" wh
21、en"0100"=>out7<="1100110" when"0101"=>out7<="1101101" when"0110"=>out7<="1111101" when"0111"=>out7<="0000111" when"1000"=>out7<="1111111" when"1001"=>out7<
22、;="1101111" when others=>out7<="0000000" end case; end process;end architecture; 3.3.3译码显示模块的时序仿真图图 7.1输入0001,输出0000110,在共阴极数码管上显示13.4抢答器的其他功能模块3.4.1其他功能模块的具体信息犯规功能模块:但主持人未按下start键时,若有选手按抢答键,系统报警。犯规功能模块的源程序:library ieee;use ieee.std_logic_1164.all;entity fg is port(a,b,c,d,
23、start:in std_logic; y:out std_logic);end fg;architecture bhv of fg isbegin process(a,b,c,d,start) begin if start='0' then if (a or b or c or d)='1' then y<='1' end if; else y<='0' end if; end process;end architecture; 犯规功能模块的时序仿真图:图 8.1b组提前抢答,报警铃鸣叫示意3.5 总体电路的源程序
24、以及仿真波形 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity qdq is port(a,b,c,d,tset,ini,en,clk:in std_logic; tih:in std_logic_vector(5 downto 4); til:in std_logic_vector(3 downto 0); bell:out std_logic; timeh:buffer std_logic_vector(5 downto 4); timel:buffer std_logic_vec
25、tor(3 downto 0); obcd:out std_logic_vector(2 downto 0);end;architecture one of qdq is signal g:std_logic_vector(3 downto 0); signal r:std_logic; signal clk1:std_logic; signal sel,outc:std_logic; signal tah:std_logic_vector(5 downto 4); signal tal:std_logic_vector(3 downto 0);begin lock:process(a,b,c
26、,d,g,ini,clk) begin if(ini='1')then r<='0' g<="0000" elsif rising_edge(clk)then if(a='1' or g(3)='1')and not (g(0)='1' or g(1)='1' or g(2)='1') then g(3)<='1' end if; if(b='1' or g(2)='1')and not (g(0)
27、='1' or g(1)='1' or g(3)='1') then g(2)<='1' end if; if(c='1' or g(1)='1')and not (g(0)='1' or g(2)='1' or g(3)='1') then g(1)<='1' end if; if(d='1' or g(0)='1')and not (g(1)='1' or g(2)=
28、9;1' or g(3)='1') then g(0)<='1' end if; r<=a or b or c or d; end if; end process;cb:process(clk)variable q:std_logic_vector(8 downto 0);begin if clk'event and clk='1' then if(q="111111111") then q:="000000000" else q:=q+1; end if; end if; cl
29、k1<=q(8);end process;count:process(tih,til,tset,timeh,timel,ini,en,clk1) begin if rising_edge(clk1) then if tset='1' then tah<=tih; tal<=til;end if;if ini='1'then timeh<=tah; timel<=tal;elsif(en='1')then timeh<=timeh; timel<=timel;elsif(timeh=0 and timel=
30、0)then timeh<=timeh; timel<=timel;elsif(timel=0)then timel<="1001" timeh<=timeh-1;else timel<=timel-1; timeh<=timeh; end if; end if;end process;obcd<="001"when g="1000"else "010"when g="0100"else "011"when g="0010&
31、quot;else "100"when g="0001"else "000"sel<='1'when(timeh=tah and timel=tal)else'0'outc<='1'when(timeh=0)and(timel=0)and(en='0')and(ini='0')else'0'bell<=(r and sel)or outc)and clk;end one;四、结论 通过本次课程设计成功实现了四路竞赛抢答器的
32、功能,完成了课程设计任务,设计中虽然出现了不少问题,不过经过小组成员的共同努力成功解决了这些难题,设计中难免有些纰漏,望老师指正。 五、结束语本次的eda课程设计历时一个星期,时间虽短,但通过一个星期的实践,使我对eda技术有了更进一步的了解。同时,大致懂得了一个课题制作的具体流程和实施方法。另外,课程设计对quartus软件的使用要求较高,从而使我能较为熟练的运用此软件。在设计时,采用模块化的设计思路使得问题变的简单明了,大大缩短了时间,降低了发生错误的机侓,也便于修改和更新。课程设计中,需要找很多资料,在当今的信息化环境中,虽然资料很多,但需要仔细斟酌才能找到所要的。这次的课程设计很好的锻炼了这种能力。此外,与同学和老师的交流必不可少,我从中也学到了不少东西。课程设计是一次很好的锻炼机会,我从中学的很多知识对将来的学习和工作都有很大的帮助,十分感谢学校能提供这样一个机会。六、参考书目【1】潘 松 黄继业 ,eda技术与vhdl(第2版),清华大学出版社,2007【2】曹昕燕 周凤臣 聂春燕,eda技术实验与课程设计,清华大学
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 2025年度房地产合作终止协议书
- 2025年度旅游文化股权合作协议书
- 二零二五年度木工机械操作人员劳务租赁合同4篇
- 2025年度牧业产品品牌推广与营销合同4篇
- 二零二五年度餐饮店员工激励机制与绩效考核合同
- 二零二五版环保技术入股合作协议书3篇
- 二零二五年度消防设施检测与评估合同
- 2025年度汽车维修配件买卖及售后服务合同
- 二零二五年度中式快餐连锁加盟经营合同
- 2025年度股权代持协议书版:农业产业化股权代持与农产品溯源协议
- 房地产销售任务及激励制度
- 并购指南(如何发现好公司)
- DL-T-1642-2016环形混凝土电杆用脚扣
- 铜矿成矿作用与地质环境分析
- 30题纪检监察位岗位常见面试问题含HR问题考察点及参考回答
- 询价函模板(非常详尽)
- 《AI营销画布:数字化营销的落地与实战》
- 麻醉药品、精神药品、放射性药品、医疗用毒性药品及药品类易制毒化学品等特殊管理药品的使用与管理规章制度
- 乘务培训4有限时间水上迫降
- 2023年低年级写话教学评语方法(五篇)
- DB22T 1655-2012结直肠外科术前肠道准备技术要求
评论
0/150
提交评论