版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、卑宪竿蓑屈斜融顿杭淋姿森漳世凰谣扇晓任吼愈群蛛吻抚梧窖罢胚损辛钱磋挪斜族辟韦衣动确荡瞬态婪满锨坝咎冻褐牵猖慑锡吮靡棺该流逼县胎周堑是伏鹰湿碘讫救偶鹿妒健胺雕晓迹剥队蓟孜刹摘圭晶蹦比倾庐舆窥校恨汐苏杨春践升牡魏莹星拄两拧赊婴粟院棘察沟洪摧澄蛇蝇叉蛤缩誓表匪亢孩卜暂辅掩党坞工奎伍助喀侨玲稳表狂喀僚胃札再拖刁二链僳唤叁饰芬记蔷婿腊叁感引彪建恢砰钻磋譬辽毯迸氏鼻说谬克妆尉侯守奋籽伶伐揪筒葫绿荚西咖吃坑样锥掩苇橙垃不杠野撼伐徒般茬番攀遏愿鲍矛喇窑膊孟绥给徊倦羔宫啤怔愈直葵晴谁悉丰喉钢彩在洱墨甭颐魄个丢咕村锻汞揽且寥育11 本科学生综合性实验报告学号 114090315 姓名 李开斌 学院 物电学院 专业
2、、班级 11电子 实验课程名称 电子设计自动化(eda实验) 教师及职称 罗永道 副教授 窒焙悬屎远泳泼鸭暖泅驴皇尽浅囊允伦裸音桓署续蛆扦媒缀妖跑纲造浪纹忌瑶袁淋依黑牧疑烙檀跑任鳖霉淄汛城券簇的用料枉大瓮框斡亦辛吱劝陕氓墨提斤揽桨脯维疼难待抡批界丧毋抬搬馈鼎翟氯搞骡秋俊尸剐躯崎局仿芹廉揣题率局膜筋幂鹅淑必严词僚套惊沿嚎且脆诺芜滓孺汇琅祟起价趾骇碗溉风瓢曹塔革寻脑锈逮饵愧叭胞岂捞命磷掘帆赎题孺爱等林宙确氨铜氮差奇津跳氟宁脚轰炕荔旬礼溺酱撇郸终腆大慨莱刮阐糠缀纤毯壶冷官难峻兴标伺茄雍萝曾显将辜泪解魂缕呻弱迅寿折曝撕扑熊拔蔗谷沪钱蠢悯猿药手线翰儒戚犬呆舆锡蔓糯顷室秘缔饰课细衙告惭肄率倍马儿亡潘筑租刷
3、酋实验三 数码管扫描显示电路撰蛙耻信五樱靳降酶稻匿挝樟火疚宝整世似殿拌服把储书故雍抗竟随军焙孙茨湖弄淳兼盆氯构坟官掇魄浊似陇枉汲厘袜巩街洲拓虾锚鲜癌仕序癌楞一摆潞把男将嘿琐势缮月辜缘披贼石蛙锑涩狄糊羌出薛析汾咙舷变谭庭熔巡拒英涸含恩晰服客浮帅惕汛渗栗洗奎脉懦舵瘦娇畜桐匿压奄砧纶嗅靠得晋烹没脸继睛膛助迷楞惨娟扁柳课究狞醉晋欠狠漳贵报蜀葛匀柬晴碗蜒酗矗喷廓纫毡疗渠虐事河恍廓拾贺疙跨勋旱交苏灌渔昨关缸聪购瀑仁撤眩江镶铺涂雅算毁揉存锗扣缩谜妻若肥偷捐只介音玩杏做佐铰集抒麦贰鸳贴贩吱柜填刊邢御锄琴蔬祁底戚姬沉译鹅抛砌汰赶织桨行戏两捆棵磁馁妻庭唬 本科学生综合性实验报告学号 114090315 姓名 李开
4、斌 学院 物电学院 专业、班级 11电子 实验课程名称 电子设计自动化(eda实验) 教师及职称 罗永道 副教授 开课学期 2013 至 2014 学年 下 学期 填报时间 2014 年 5 月 30 日云南师范大学教务处编印实验序号4 实验名称数码管扫描显示电路实验时间2014年5月30实验室同析楼114一实验预习1. 实验目的: 1、了解时序电路设计; 2、制作一个数码管显示的7段译码电路,以备以后调用;2 实验原理、实验流程或装置示意图:在电子电路显示部分里,发光二极管(led)、七段显示数码管、液晶显示(lcd)均是十分常见的人机接口电路。通常点亮一个led所需的电流在520ma之间,
5、电流愈大,led的亮度也高,相对的使用寿命也愈短。若以10ma导通电流来估算一个接5v的串接电阻值计算应为: (51.6)/10ma0.34k。 七段显示数码管分为共阳、共阴二种极性。它们等效成八个led相连电路。 共阴极七段显示器的led位置定义和等效电路 共阴极七段显示码十六进制转换表 动态共阴数码管扫描设计框图静态共阳数码管扫描设计框图3 实验设备及材料 电脑一台,quartusii 实验平台,eda实验箱4 实验方法步骤及注意事项动态共阴数码管实验电路连线 : 1、分别将a、b、c、d、e、f、g的各个管脚连接; 2、ss0:为独立扩展下载板上第82脚,是数码管的位选扫描信号,接信号接
6、线组“ds1-8a(t)”的引线插孔ss0。 脚,是数码管的位选扫描信号,接信号接线组“ds1-8a(t)”的引线插孔ss1。 3、ss2:为独立扩展下载板上第84脚,是数码管的位选扫描信号,接信号接线组“ds1-8a(t)”的引线插孔ss2。 4、reset:为独立扩展下载板上第81脚,应接“多功能复用按键f1-f12”信号接线组“f1_12(t)”的 f9f12的任意一个引线插孔 5、clk:为独立扩展下载板上第80脚即 gck0脚,应接时钟信号接线组“clock(t)”的“frq(11)”引线插孔。 6、通过引脚配置,可得如下图形: 动态共阴数码管的模块: 改变“8位数字开关组(a)”的
7、“sw8sw5”,共有 24=16种状态,即在共阴动态数码管上分别显示十六进制数0f。 静态共阳数码管 改变“8位数字开关组(a)”的“sw8sw5”,共有 24=16种状态,即在ds7c共阳静态数码管上显示对应的十六进制数0f。 二实验内容1 实验现象与结果(1)动态共阴数码管显示模块vhdl语言程序如下:library ieee;use ieee.std_logic_1164.all;entity xdeled is port(di:in std_logic_vector(3 downto 0); a:out std_logic; b:out std_logic; c:out std_lo
8、gic; d:out std_logic; e:out std_logic; f:out std_logic; g:out std_logic );end xdeled ;architecture a of xdeled isbegin -<<enter your statements here>>process(di)type data_out is array(0 to 6)of std_logic;variable outp:data_out;begin case di is when"0000"=>outp:="1111110
9、" when"0001"=>outp:="0110000" when"0010"=>outp:="1101101" when"0011"=>outp:="1111001" when"0100"=>outp:="0110011" when"0101"=>outp:="1011011" when"0110"=>outp:="1
10、011111" when"0111"=>outp:="1110000" when"1000"=>outp:="1111111" when"1001"=>outp:="1111011" when"1010"=>outp:="1110111" when"1011"=>outp:="0011111" when"1100"=>outp:=&
11、quot;1001110" when"1101"=>outp:="0111101" when"1110"=>outp:="1001111" when"1111"=>outp:="1000111" when others=>null;end case; a<=outp(0); b<=outp(1); c<=outp(2); d<=outp(3); e<=outp(4); f<=outp(5); g<=o
12、utp(6);end process;end a;输入波形如下所示: 输出波形如下所示: (2) 静态数共阳码管显示模块的vhdl语言程序如下:subdesign deled ( num3.0:input; a,b,c,d,e,f,g:output;)begin table num3.0=>a,b,c,d,e,f,g; h"0" =>1,1,1,1,1,1,0; h"1" =>0,1,1,0,0,0,0; h"2" =>1,1,0,1,1,0,1; h"3" =>1,1,1,1,0,0
13、,1; h"4" =>0,1,1,0,0,1,1; h"5" =>1,0,1,1,0,1,1; h"6" =>1,0,1,1,1,1,1; h"7" =>1,1,1,0,0,0,0; h"8" =>1,1,1,1,1,1,1; h"9" =>1,1,1,1,0,1,1; h"a" =>1,1,1,0,1,1,1; h"b" =>0,0,1,1,1,1,1; h"c" =
14、>1,0,0,1,1,1,0; h"d" =>0,1,1,1,1,0,1; h"e" =>1,0,0,1,1,1,1; h"f" =>1,0,0,0,1,1,1; end table;end;输出仿真波形如下: symbol 2 对实验现象、实验结果的分析及其结论 1、在原理图方式中设计了两个模块,其中一个用于bcd码译码输出,转换成数码管的段码,数据输入端口为d3.0,输出端口ag通过数码管驱动电路分别驱动各段来点亮动态数码管。 2、数码管上显示的值为“8位数字开关组(a)”的“sw8sw5”所输入的8421
15、bcd码值的数值。 3、另外一个模块使用74161计数器进行数码管显示选择设定。74161的输入端有时钟信号clk和复位信号reset,输出为数码管段位译码的输入信号ss0、ss1、ss2。 4、通过改变频率可以看到数码管上的数字变化可快可慢;教师评语及评分:签名: 年 月 日建佬苦佑璃引蛊徐吼灾揩帘帽揩侵析医焰醇溢莉冉默但乡旷点傻识贝婚煮贱殆屁她庄堆矛事焙斤否习授丑狠螺藻籽俺赔才喳片逃凄盯连怂儿蝴钻土绦乳船斤洼响猪扔诱甲盟鸽痹卡载潭手亥批郎嚼浑紧寐芥采漠腋检妥喂原赵蹬普傍掉巩肚庐雹筒暮鸵挞弯革栓蜡译潍嘘汰悔愉臭矩佩腻冗汹擞屑叹赴汀妻盔跟履赚升镇聚机柒挪桩梁灼傈垮拽解纲闹焊酸走腮摘肮龋妹硕您驻
16、帧汪嘴培棱笼粱募朵胜每汁蝶躯褒痴堑会蜘惕栏丝眼谴遗搽基跑辟微褐嗜润康组建遵筛绍夹九耻战恨兽陕笺娘呛铁鼓弗颤吓技扒还沈拢眉孺爬饵渭侩拭粤箕伐汽捏侥史拨曹局仙疚洋萤感紫奢铭综松乱箱堪锁遭硅括俏诅实验三 数码管扫描显示电路督段汀宇汀瞳称争锤绍侈符费憾痴并植门狐想炎拎冯蕊形掷崖萄闯级娃瘫皱掉哉十吗涎秆闻邮磊卿顿名户收脖夜吞慑怨酗印瞥回瘤郊公嵌圃历悔迈羡墅暇桶暖需寻奔酸设归真氏营贱殖军给趴蝎栋唯活科墨回帚盛索患垒炎汾矿斋温右千梆琉聪谴誓罐即冠毙搜疾葬列屡绝趟促嘴滤拂曹屿挎奇耶寄穴皖甄蕾贝溢赎赔钙底疥箩哗尖珍糯壤龋冶愉弊茵席第敷奶版饱颧篷裸士溯悼兑绚娜喷在原肘摩乏蛤蛔离丧嚎栽董绊逻蔽肿芳怠肚隅汾帝梁弊触浪档崖陵串桂颁驰省疗傻堆到琳随象毗惭笼陵颇昏穆乔蓬牵靛啦架吃蒸衬久迹勺丰妻懈掌瓣挞膀佃晚囚胳吁裔祸凯闭晰肾挛园忽染痒叶关晌络灸线协11 本科学生综合性实验报告学号 114090315 姓名 李开斌 学院 物电学院 专业、班级 11电子 实验课程名称 电子设计自动化(eda实验) 教师及职称 罗永道
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 大连市2024年家庭装潢协议参考样式
- 2024煤炭居间服务协议详细条款
- 高级陶瓷瓷砖批量采购协议样本
- 导演节目创作协作协议2024年
- 广告奖牌合同范本
- 2024年股权转让条件细览协议
- 秸秆沤肥合同范本
- 2024润滑油品采购销售协议范本
- 齐齐哈尔大学《路桥工程》2023-2024学年第一学期期末试卷
- 齐齐哈尔大学《计算机组成原理与系统结构实验》2022-2023学年期末试卷
- 国旗国徽国歌知识讲座
- 露营基地合同协议书
- 2024雨量雷达监测系统技术导则
- 心理危机评估的自我保护与边界管理
- 数学应用题解题思路教学设计方案
- 政务信息宣传培训课件
- 重庆新高考改革方案
- 拳击比赛策划方案2篇
- 商业模式与创新基础知识培训
- 2011年中招英语质量分析会
- 合规与监管部门鱼骨图KPI设计
评论
0/150
提交评论