第十二章 触发器_第1页
第十二章 触发器_第2页
第十二章 触发器_第3页
第十二章 触发器_第4页
第十二章 触发器_第5页
已阅读5页,还剩75页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、第十二章第十二章 触发器触发器触发器的基本知识触发器的基本知识RS触发器触发器JK触发器触发器 D触发器触发器T触发器触发器触发器的基本知识触发器的基本知识一、触发器的基本特性和作用一、触发器的基本特性和作用 Flip - Flop,简写为,简写为 FF,又称双稳态触发器。,又称双稳态触发器。基本特性基本特性 ( (1) )有两个稳定状态有两个稳定状态( (简称稳态简称稳态) ),正好用来表示逻辑正好用来表示逻辑 0 和和 1。( (2) )在输入信号作用下,触发器的两个稳定状态可相互转换在输入信号作用下,触发器的两个稳定状态可相互转换 ( (称为状态的翻转称为状态的翻转) )。(3)(3)输

2、入信号消失后,新状态可长期保持下来,因此具有记忆输入信号消失后,新状态可长期保持下来,因此具有记忆功能功能,可存储二进制信息。,可存储二进制信息。 一个触发器可存储一个触发器可存储 1 位二进制数码位二进制数码触发器的作用触发器的作用触发器有记忆功能,触发器有记忆功能,由它构成的电路在某时刻的输由它构成的电路在某时刻的输出不仅取决于该时刻的输入,还与电路原来状态有关。出不仅取决于该时刻的输入,还与电路原来状态有关。而门电路无记忆功能,由它构成的电路在某时刻的输而门电路无记忆功能,由它构成的电路在某时刻的输出完全取决于该时刻的输入,与电路原来状态无关;出完全取决于该时刻的输入,与电路原来状态无关

3、;触发器和门电路触发器和门电路是构成数字电路的基本单元。是构成数字电路的基本单元。二、触发器的类型二、触发器的类型 根据逻辑功能不同分为根据逻辑功能不同分为 RS 触发器触发器 D 触发器触发器 JK 触发器触发器 T 触发器触发器 T 触发器触发器 根据触发方式不同分为根据触发方式不同分为 电平触发器电平触发器 边沿触发器边沿触发器 主从触发器主从触发器 根据电路结构不同分为根据电路结构不同分为 基本基本 RS 触发器触发器 同步触发器同步触发器 主从触发器主从触发器 边沿触发器边沿触发器 三、触发器逻辑功能的描述方法三、触发器逻辑功能的描述方法 主要有主要有真值表真值表、特性方程、驱动表、

4、特性方程、驱动表 ( (又称激励表又称激励表) )、状态转换图和、状态转换图和波形图波形图 ( (又称时序图又称时序图) )等。等。第一节第一节 RSRS触发器触发器基本基本RS触发器触发器同步同步RS触发器触发器 主从主从RS触发器触发器 一、基本一、基本 RS 触发器触发器 ( (一一) )由与非门组成的基本由与非门组成的基本 RS 触发器触发器 1. 电路结构及逻辑符号电路结构及逻辑符号QQSDRDG1G2QQSDRDSRSDRDQQQ = 1,Q = 0 时,称为触发器的时,称为触发器的 1 状态,记为状态,记为 Q = 1;Q = 0,Q = 1 时,称为触发器的时,称为触发器的 0

5、 状态,记为状态,记为 Q = 0。 RDSD置置0端,也端,也称复位端。称复位端。 R 即即 Reset 置置1端,也端,也称置位端。称置位端。 S 即即 Set Basic Flip - Flop 信号输入端信号输入端互补输出端,互补输出端,正常工作时,正常工作时,它们的输出它们的输出状态相反。状态相反。 低电平有效低电平有效 2、工作原理、工作原理输入输入R=0, S=1时时若原状态若原状态:10QQ11001010输出仍保持:输出仍保持:1Q0Q &a&bQQRS若原状态若原状态:0Q1Q 01111010输出变为输出变为:1Q0Q 置置“0”!&a&bQQRS输入输入R=1, S=0

6、时时若原状态若原状态:1Q0Q 10101001输出变为输出变为:01QQ&a&bQQRS若原状态若原状态:01QQ00110101输出保持输出保持:0Q1Q &a&bQQRS置置“1” !输入输入R=1, S=1R=1, S=1时时若原状态若原状态:10111001输出保持原状态:输出保持原状态:0Q1Q 0Q1Q 若原状态若原状态:10QQ01110110输出保持原状态输出保持原状态:1Q0Q &a&bQQRS&a&bQQRS保持!保持!输入输入R=0, S=0时时0011输出:全是输出:全是1注意:注意:当当R RD D、S SD D同时由同时由0 0变为变为1 1时,时,翻转快的门输出

7、变为翻转快的门输出变为0 0,另一个不,另一个不得翻转。因此,该状态为不定状态。得翻转。因此,该状态为不定状态。&a&bQQRS基本触发器的功能表基本触发器的功能表QR S Q1 10 11 00 0保持原状态保持原状态0 10 11 01 0不定状不定状态态& &QSRSRQQQSR( a )( b ) 逻辑符号逻辑符号 特性表特性表3. 逻辑功能的逻辑功能的真值表真值表描述描述 次态次态 现态现态 指触发器在输入信号变化前的状态,用指触发器在输入信号变化前的状态,用 Qn 表示。表示。 指触发器在输入信号变化后的状态,用指触发器在输入信号变化后的状态,用 Qn+1 表示。表示。 触发器次态

8、与输入信号和电路原有状态之触发器次态与输入信号和电路原有状态之间关系的真值表。间关系的真值表。00001触发器触发器状态不定状态不定01010100触发器触发器置置 000101101触发器触发器置置 1111110011触发器触发器保持原状态不变保持原状态不变逻辑功能逻辑功能Qn+1QnSR与非门组成的基本与非门组成的基本 RS 触发器真值表触发器真值表 现态:触发器接收输入信号之前的状态,也就是触发器原来的稳定状态。次态:触发器接收输入信号之后所处的新的稳定状态。1101不允许不允许不不 定定00SR逻辑功能逻辑功能Qn+110置置 00置置 11保持保持不不 变变基本基本 RS 触发器真

9、值表的简化表示触发器真值表的简化表示禁用禁用 R = S = 0。称约束条件称约束条件 注意注意通常用虚线或阴影表示触发器处于不定状态。 例:画出基本RS触发器的输出端波形图, 假设Q端的初始状态为 0 。QQDRDS波形分析举例解:解: 例例 设下图中触发器初始状态为设下图中触发器初始状态为 0,试对应输入波形,试对应输入波形 画出画出 Q 和和 Q 的波形。的波形。QQSDRDSRSDRD保持保持初态为初态为 0,故保持为,故保持为 0。置置 0 保持保持QQ置置 1( (二二) )基本基本 RS 触发器的优缺点触发器的优缺点 优点优点缺点缺点电路简单,是构成各种触发器的基础。电路简单,是

10、构成各种触发器的基础。 1. 输出受输入信号直接控制,不能定时控制。输出受输入信号直接控制,不能定时控制。 2. 有约束条件。有约束条件。 复习1101不允许不允许不不 定定00SR逻辑功能逻辑功能Qn+110置置 00置置 11保持保持不不 变变基本基本 RS 触发器真值表的简化表示触发器真值表的简化表示QQSDRDSR 逻辑符号逻辑符号 二、同步二、同步RS触发器触发器 Synchronous Flip - Flop 实际工作中,触发器的工作状态不仅要由触发输入实际工作中,触发器的工作状态不仅要由触发输入信号决定,而且要求按照一定的节拍工作。为此,需要信号决定,而且要求按照一定的节拍工作。

11、为此,需要增加一个增加一个时钟控制端时钟控制端 CP。 CP 即即 Clock Pulse,它是一串,它是一串周期和脉宽一定的矩形脉冲。周期和脉宽一定的矩形脉冲。 具有时钟脉冲控制的触发器称为具有时钟脉冲控制的触发器称为时钟触发器时钟触发器,又称钟控触发器。又称钟控触发器。 同步触发器是其中最简单的一种,而同步触发器是其中最简单的一种,而基本基本 RS 触发器称异步触发器触发器称异步触发器。 QQG1G2SRG3G4CPQ3Q41. 电路结构电路结构与逻辑符号与逻辑符号基本基本 RS 触发器触发器 增加了由时钟增加了由时钟 CP 控制的门控制的门 G3、G4 QQ S CP R 逻辑符号逻辑符

12、号 ( (一一) )同步同步 RS 触发器触发器QQG1G2SRG3G4CPQ3Q4 CP = 0 时,时,G3、G4被封锁,输入信号被封锁,输入信号 R、S不起作用。基本不起作用。基本 RS 触发触发器的输入均为器的输入均为 1,触发器,触发器状态保持不变。状态保持不变。 CP = 1 时,时,G3、G4解除封锁,将输入信号解除封锁,将输入信号 R 和和 S 取非后送至基本取非后送至基本 RS 触发器的输入端。触发器的输入端。逻逻辑功能与基本辑功能与基本RSRS触发器触发器相同相同0111SR2. 工作原理工作原理 1101保持保持不不 变变00SR逻辑功能逻辑功能Qn+110置置 11置置

13、 00不允许不允许不不 定定禁用禁用 R = S = 1。称约束条件称约束条件 注意注意3. 真值表(只在CP=1时有效)触发方式:高电平触发 CPRQQCPRSS解:解: 例例 试对应输入波形画出下图中试对应输入波形画出下图中 Q Q 端波形端波形(设初状态为(设初状态为0 0)。)。例:例:画出同步RS触发器的输出端波形图。CPRSQQ假设Q的初始状态为 0。 在CP = 0 期间,触发器的状态“ 保持 ”不定不定Q从从Q从从FF2SRFF1CPQ主主Q主主CP S R C S R C 2、给主从触发器提、给主从触发器提供反相的时钟信号,供反相的时钟信号,使它们在不同的时使它们在不同的时段

14、交替工作。段交替工作。1、主从、主从 RS 触发器电路结构触发器电路结构电路结构电路结构:1 1、由两个相由两个相同的同步同的同步RSRS触发器组成,触发器组成,一个为主触发器,另一个一个为主触发器,另一个为从触发器为从触发器从触发器从触发器 主触发器主触发器 三、主从三、主从RS触发器触发器 7、8门打开,可以翻转,由R、S决定。 如 R=0,S=1 Q=1;(1)cp&G3G1G7G5G9G4G2G8G6S SR RQ Q/ /Q Q/ /1CPCPCPCPQ QQ Q设原态 Qn=03、4门被封锁 ,维持原状态不变, Q=0。称上升沿存贮准备阶段。从:cp=0011010主:cp=1,1

15、0 (2) CP = 1 期间期间 主触发器接受输入信号,从触发器被封锁,使主触发器接受输入信号,从触发器被封锁,使主从主从 RS 触发器状态保持不变。触发器状态保持不变。2、主从、主从 RS 触发器工作原理触发器工作原理 7、8门被封锁,隔断主触发器与 R、S的联系。使Q=1维持不变;(Q=1)从:cp=1(3)cp&G3G1G7G5G9G4G2G8G6S SR RQ Q/ /Q Q/ /1CPCPCPCPQ QQ Q01101010主:cp=001(4)CP = 0 期间期间 主触发器被封锁,保持主触发器被封锁,保持CP 到达之前的状态不变,到达之前的状态不变, Q从从 = Q主主, 因此

16、,因此,主从主从 RS 触发器状态保持不变。触发器状态保持不变。3、4门打开,可以翻转,决定于 R(Q)、S(Q),则Qn+1= Q=1,称下降沿触发翻转阶段。3. 真值表(只在CP从1变为0时有效)主从RS触发器真值表 触发方式:下降沿触发 综上所述,主从触发器状态只能在综上所述,主从触发器状态只能在CP 时刻发生时刻发生翻转,其它时刻则保持不变翻转,其它时刻则保持不变.至于状态如何翻转,至于状态如何翻转, 则则功能与同步功能与同步RS触发器完全相同触发器完全相同 。4. 工作波形(又称为时序图, ) 注意:注意:当当CP从从1变为变为0时,时,Q将由将由CP下降沿到来之前一瞬下降沿到来之前

17、一瞬间间R、S的状态决定。的状态决定。 5、主从、主从 RS 触发器符号触发器符号表示时钟触发沿为上升沿表示时钟触发沿为上升沿 表示时钟触发沿为下降沿表示时钟触发沿为下降沿 QRSCPQQQSCP R复习复习QRSCPQ主从主从 RS 触发器触发器QQ S CP R 同步同步RSRS触发器触发器逻辑符号逻辑符号QQSRSR 基本基本RSRS触发器触发器主从主从R-S触发器触发器CP上的上的“。”、“”表示表示CP下降沿有效。下降沿有效。CP下降沿时,触发器的下降沿时,触发器的状态由状态由R、S决定。决定。QRSCPQ一、电路组成一、电路组成第二节第二节 J-K触发器触发器(1)将两个同步将两个

18、同步R-S触发器串触发器串联起来,前一级称为主触发器,联起来,前一级称为主触发器,后一级称为从触发器;后一级称为从触发器;(2)CP直接控制主触发器,直接控制主触发器,经过与非门后控制从触发器。经过与非门后控制从触发器。(4)R端改称为端改称为K端,端,S端端改称为改称为J端,这种改接后的端,这种改接后的电路,通常称主从电路,通常称主从J-K触发触发器,简称器,简称J-K触发器。触发器。KJCP主触发器主触发器从触发器从触发器(3)Q作为作为G7门输入,门输入,作为作为G8门输入;门输入;CP注意注意 : CP上的上的“。”、“”表示触发器是表示触发器是CP下降沿触发有效下降沿触发有效二、逻辑

19、符号二、逻辑符号三、逻辑功能三、逻辑功能10 CP=1时时,主触发器工作主触发器工作,输出状输出状 态态为为1;从触发器不工作从触发器不工作,输出状态保输出状态保持不变,持不变,仍为仍为0.,KJ时当逻辑功能1)1 (:三、101001 CP=0时时,主触发器不工作主触发器不工作,输出输出状态保持不变状态保持不变,从触发器工作从触发器工作,输输出状态出状态变为变为1;10010110CP=1时,主触发器工作,输出时,主触发器工作,输出状态为状态为0,从触发器不工作,输,从触发器不工作,输出状态保持不变,出状态保持不变,仍为仍为1;10011010CP=0时,主触发器不工作,时,主触发器不工作,

20、输出状态保持不变,从触发输出状态保持不变,从触发器工作,输出状态器工作,输出状态变为变为010QQ设01当当J=K=1时,触发器状态翻转(计数)时,触发器状态翻转(计数)11G7和和G8均被封锁,无论均被封锁,无论CP为为1或或0,主触发器始,主触发器始终不工作,状态保持不终不工作,状态保持不变。变。00当当J=K=0时,触发器时,触发器状态不变状态不变(2)当)当J=K=0时时(a)设)设Q=0时,时,01因为因为G7、G8均封锁,所均封锁,所以无论以无论CP=0或或1,主触发,主触发器不工作,触发器不翻转,器不工作,触发器不翻转,状态不变,状态不变,仍为仍为0。(3)当)当J=0, K=1

21、时时01(b)设)设Q=1,1010当当CP=1时:时:1001主触发器工作,从触发器主触发器工作,从触发器被封锁,状态不变,被封锁,状态不变,仍为仍为1;当当CP=0时:时:011010主触发器被封锁,将信号主触发器被封锁,将信号传送到从触发器中,从触传送到从触发器中,从触发器工作,状态发器工作,状态变为变为0由(由(a)、()、(b)知:)知:当当J=0,K=1时,触发时,触发器状态为器状态为0(3)当)当J=0, K=1时时0110(a)设)设Q=0, 当当CP=1时:时:01主触发器工作,从触发主触发器工作,从触发器不工作,状态不变,器不工作,状态不变,仍为仍为0;当当CP=0时:时:

22、0110主触发器不工作,从触主触发器不工作,从触发器工作,状态发器工作,状态变为变为110(b)设)设Q=1,触发器的状,触发器的状态会是什么呢?态会是什么呢?100110(4)当)当J=1, K=0时时(b)设)设Q=1,触发器的状态,触发器的状态会是什么呢?会是什么呢?1仍为010078状态工作主触发不或为所以无论封锁封锁因为,CPG,KGQ 由(由(a)()(b)知:)知:当当J=1,K=0时,触发器时,触发器状态为状态为110(4)当)当J=1, K=0时时10JKQn+100011011四、真值表:四、真值表:(只在CP从1变为0时有效)触发方式:下降沿触发 JKQn+100保持保持

23、011011真值表:真值表:(只在CP从1变为0时有效)触发方式:下降沿触发 JKQn+100保持保持0101011真值表:真值表:(只在CP从1变为0时有效)触发方式:下降沿触发 JKQn+100保持保持01010111真值表:真值表:(只在CP从1变为0时有效)触发方式:下降沿触发 JKQn+100保持保持01010111翻转(计数)翻转(计数)真值表:真值表:(只在CP从1变为0时有效)触发方式:下降沿触发 JK触发器不存在不定状态而制约它的应用,因此触发器不存在不定状态而制约它的应用,因此JK触发器是触发器是功能最齐全的触发器功能最齐全的触发器,应用最广泛。,应用最广泛。练习:练习:

24、有一主从有一主从J-K触发器,其初始状态触发器,其初始状态Q=0,试画出,试画出在下图所示的时钟脉冲在下图所示的时钟脉冲CP和和J、K作用下触发器作用下触发器Q端输出波形。端输出波形。注意:注意:当当CP从从1变为变为0时,时,Q将由将由CP下降沿到下降沿到来之前一瞬间来之前一瞬间J、K的状态决定。的状态决定。 练习:练习: 有一主从有一主从J-K触发器,其初始状态触发器,其初始状态Q=0,试画出在下,试画出在下图所示的时钟脉冲图所示的时钟脉冲CP和和J、K作用下触发器作用下触发器Q端输出波形。端输出波形。解:解:初态初态001保持保持 翻转翻转0保持保持练习:练习: 有一主从有一主从J-K触

25、发器,其初始状态触发器,其初始状态Q=0,试画出在下,试画出在下图所示的时钟脉冲图所示的时钟脉冲CP和和J、K作用下触发器作用下触发器Q端输出波形。端输出波形。解:解:010保持保持 翻转翻转0保持保持小结:小结:1、J-K触发器的逻辑符号触发器的逻辑符号2、J-K触发器的真值表和功能触发器的真值表和功能( (二二) )同步同步 D 触发器触发器第三节第三节 D 触发器触发器 1. 电路结构、逻辑符号和逻辑功能电路结构、逻辑符号和逻辑功能 DQQJ KC CPCPDQn+1说明说明0101置置0置置1其他其他Qn不变不变D 触发器功能表触发器功能表 称为称为 D 功能功能特点:特点:Qn+1

26、跟随跟随 D 信号信号QQDDCCP触发方式:下降沿触发 例例 试对应输入波形画出下图中试对应输入波形画出下图中 Q 端端波形波形( (设触发器设触发器 初始状态为初始状态为 0) )。触发器触发器初始状态为初始状态为 0注意:注意:当当CP从从1变为变为0时,时,Q将由将由CP下降沿到来之前一瞬下降沿到来之前一瞬间间D的状态决定。的状态决定。 T CPQJ KQ(保持功能保持功能)(计数功能计数功能)J K Qn+1 0 0 Qn 0 1 0 1 0 1 1 1 QnQQCP C T逻辑图逻辑图逻辑符号逻辑符号CPTQQ4、时时序序图图保持保持保持保持 在数字电路中,凡每来一个时钟脉冲就翻转

27、一次的电路,在数字电路中,凡每来一个时钟脉冲就翻转一次的电路,都称为都称为T触发器触发器。2 2、JKJK触发器触发器TT触发器触发器 J C1 K“1”QQCP逻辑图逻辑图Q n Q n+1功能功能 0 1 1 0翻转翻转 CPQQD逻辑图逻辑图Q n Q n+1功能功能 0 1 1 0翻转翻转CPQQ时时序序图图一、触发器的逻辑符号一、触发器的逻辑符号QRSCPQ主从主从 RS 触发器触发器QQ S CP R 同步同步RSRS触发器触发器QQSRSR 基本基本RSRS触发器触发器RS触发器QQDDCCPQQCP C TQQCP CJK触发器触发器D触发器触发器T触发器触发器T触发器触发器二

28、、触发器的五种逻辑功能二、触发器的五种逻辑功能触发器五种逻辑功能的比较触发器五种逻辑功能的比较无约束,无约束,但功能少但功能少无约束,无约束,且功能强且功能强令令 J = K = T即可即可令令J = K = 1即可即可 D 功能功能1 0Qn+110D T 功能功能 QnQnQn+110T RS 功能功能不定不定01 QnQn+111011000SRRS = 0( (约束条件约束条件) ) JK 功能功能 Qn10 QnQn+111011000KJT功能功能(计数功能计数功能) 只有只有 CP 输入端,输入端,无数据输入端。无数据输入端。来一个来一个CP翻转一次翻转一次( (四四) )同步触

29、发器的特点同步触发器的特点 同步触发器的同步触发器的触发方式触发方式为为电平触发式电平触发式 同步触发器的共同缺点是存在同步触发器的共同缺点是存在空翻空翻 触发脉冲作用期间,输入信号发生多次变化时,触触发脉冲作用期间,输入信号发生多次变化时,触发器输出状态也相应发生多次变化的现象称为空翻。发器输出状态也相应发生多次变化的现象称为空翻。 空翻可导致电路工作失控。空翻可导致电路工作失控。指时钟脉冲信号控制指时钟脉冲信号控制触发器工作的方式触发器工作的方式 CP = 1 期间翻转的称正电平触发式;期间翻转的称正电平触发式; CP = 0 期间翻转的称负电平触发式。期间翻转的称负电平触发式。 Mast

30、er - Slave Flip - Flop Edge - Triggered Flip - Flop 一、无空翻触发器的类型和工作特点一、无空翻触发器的类型和工作特点 工作特点:工作特点:CP = 1 期间,期间,主触发器主触发器接收接收输入信号;输入信号;CP = 0 期间,主触发器保持期间,主触发器保持 CP 下降沿之前状态不变,而从触发器接受主触下降沿之前状态不变,而从触发器接受主触发器状态。因此,发器状态。因此,主从触发器的状态只能在主从触发器的状态只能在 CP 下降沿时刻翻转。下降沿时刻翻转。( (详见链接详见链接) ) 这种触发方式称为这种触发方式称为主从触发式主从触发式。工作特

31、点:只能工作特点:只能在在 CP 上升沿上升沿( (或下降沿或下降沿) )时刻接收输入信号,时刻接收输入信号,因此,因此,电路状态只能在电路状态只能在 CP 上升沿上升沿( (或下降沿或下降沿) )时刻时刻翻转。翻转。这种触发方式称为这种触发方式称为边沿触发式。边沿触发式。无无空空翻翻触触发发器器主主从从触触发发器器 边边沿沿触触发发器器 主从触发器和边沿触发器有何异同?主从触发器和边沿触发器有何异同?只能在只能在 CP 边沿时刻翻转,边沿时刻翻转,因此都因此都克服了克服了空翻空翻,可靠性和抗干扰能力强,应用范围广。,可靠性和抗干扰能力强,应用范围广。相相同同处处电路结构和工作原理不同,因此电

32、路功能电路结构和工作原理不同,因此电路功能不同。为保证电路正常工作,不同。为保证电路正常工作,要求主从要求主从 JK 触触发器的发器的 J 和和 K 信号在信号在 CP = 1 期间保持不变期间保持不变;而;而边沿触发器没有这种限制边沿触发器没有这种限制,其功能较完善,因,其功能较完善,因此应用更广。此应用更广。相相异异处处 无空翻触发器的学习重点是根据无空翻触发器的学习重点是根据逻辑符号识别其功能,理解其应用。逻辑符号识别其功能,理解其应用。下面介绍常用无空翻触发器的符号及下面介绍常用无空翻触发器的符号及其应用注意事项。其应用注意事项。二、常用无空翻触发器及其符号二、常用无空翻触发器及其符号

33、 主从主从 RS 触发器触发器 主从主从 JK 触发器触发器 主从触发器主从触发器 QQ1JJC1CP1KK边沿触发器边沿触发器 TTL 维持阻塞维持阻塞 D 触发器触发器( (通通常常上升沿触发上升沿触发) ) TTL 边沿边沿 JK触发器触发器( (通通常常下降沿触发下降沿触发) )CMOS 边沿边沿 D 触发触发器和边沿器和边沿 JK 触发器触发器( (通通常常上升沿触发上升沿触发) )QQ1SSC1CP1RRQQC1CP1DDCP 触发的边触发的边沿沿 D 触发器触发器 C1QQC1CPD具有异步端的具有异步端的边沿边沿 D 触发器触发器 1DSSDRRDRRDSSD执行执行 Qn+1

34、 = D1111在在 CP 时刻时刻0011Qn111保持不变保持不变Qn011禁禁 用用不定态不定态00异步置异步置 1101异步置异步置 0010说说 明明Qn+1DCPSDRD异步端低电平有效的异步端低电平有效的上升沿触发式上升沿触发式 D 触发器功能表触发器功能表QQ1JJ CP1KKC1CP 触发的边触发的边沿沿 JK 触发器触发器 C1QQ1JJ CP1KKC1CP 触发的边触发的边沿沿 JK 触发器触发器 C1具有异步端的具有异步端的边沿边沿 JK 触发器触发器 QQ1JJ CP1KKRSC1RDSDQQ1JJ CP1KKRSC1RDSD异步端低电平有效异步端低电平有效异步端高电

35、平有效异步端高电平有效RRDRRDSSDSSDQn11001010001000在在 CP时时刻执行刻执行 JK 功能功能Qn0000Qn100保持不变保持不变Qn000禁用禁用不定不定 11置置 1110置置 0001说说 明明Qn+1KJCPSDRD异步端高电平有效的下降沿触发式异步端高电平有效的下降沿触发式 JK 触发器功能表触发器功能表注注意意( (1) ) 弄清弄清时钟触发沿时钟触发沿是上升沿还是下降沿?是上升沿还是下降沿?( (2) )弄清有无异步输入端?弄清有无异步输入端?异步置异步置 0 端和异步端和异步置置 1 端端是低电平有效还是高电平有效?是低电平有效还是高电平有效?( (

36、4) ) 边沿触发器的逻辑功能和特性方程与同步边沿触发器的逻辑功能和特性方程与同步触发器的相同,但由于触发方式不一样,触发器的相同,但由于触发方式不一样,因此,它们的逻辑功能和特性方程成立的因此,它们的逻辑功能和特性方程成立的时间不同。时间不同。边沿触发器的逻辑功能和特性边沿触发器的逻辑功能和特性方程只在时钟的上升沿方程只在时钟的上升沿( (或下降沿或下降沿) )成立。成立。( (3) ) 异步端不受时钟异步端不受时钟 CP 控制控制,将直接实现置,将直接实现置 0 或置或置 1。触发器工作时,应保证异步端接非。触发器工作时,应保证异步端接非有效电平。有效电平。Q1Q1CPDC11D(a)(b

37、)SC1R1DCPQ2Q2三、边沿触发器工作波形分析举例三、边沿触发器工作波形分析举例 解:解: 例例 设触发器初态为设触发器初态为 0,试对应输入波形画出,试对应输入波形画出 Q1、Q2 的波形。的波形。DCPQ1Q2nQD22 D 触发器特性方程为触发器特性方程为 Qn+1 = D功能是翻转功能是翻转因此因此nnQDQ2212 C110触发器初态为触发器初态为 0C1该电路的功能是:该电路的功能是:在时钟触发沿到在时钟触发沿到达时状态发生翻转,这种功能称为计数达时状态发生翻转,这种功能称为计数功能,功能,相应触发器称为计数触发器。相应触发器称为计数触发器。 JCPKT解:解: 例例 设触发

38、器初态为设触发器初态为 1,试对应输入波形画出,试对应输入波形画出 Q1、Q2 的波形。的波形。触发器初态为触发器初态为 1Q1Q1CPJC11J(a)(b)SC1R1KCPQ2Q2K1K1JTVCCC111001001SVCCRC1CP1JT1K1010CP 之前之前 J、K最后取值为最后取值为 1CP 之前之前 J、K最后取值为最后取值为 0触发器初态为触发器初态为 1Q1Q2T = 0 时,时,Qn+1 = Qn ;T = 1 时,时,Qn+1 = Qn 。这种功能称这种功能称 T 功能,相应触发器称功能,相应触发器称 T 触发器。触发器。主要要求:主要要求:掌握掌握常用触发器的工作特点

39、、符号、逻辑功能常用触发器的工作特点、符号、逻辑功能和特性方程,会画工作波形。和特性方程,会画工作波形。 了解触发器各种逻辑功能间的转换方法。了解触发器各种逻辑功能间的转换方法。 7.4 触发器的应用触发器的应用 理解触发器及其简单应用电路的分析方法。理解触发器及其简单应用电路的分析方法。( (二二) )不同逻辑功能间的相互转换不同逻辑功能间的相互转换1. JK D2. JK T、T因此,令因此,令J = K = D已有已有Qn+1 = JQn+ KQn欲得欲得 Qn+1 = DQQCPDC11J1KQQC11J1KQQCP1C11J1KTCP转转换换方方法法( (1) ) 写出待求触发器和给

40、定触发器的特性方程。写出待求触发器和给定触发器的特性方程。( (3) )画出用给定触发器实现待求触发器的电路。画出用给定触发器实现待求触发器的电路。( (2) )比较上述特性方程,得出给定触发器中输入比较上述特性方程,得出给定触发器中输入 信号的接法。信号的接法。3. D JK已有已有 Qn+1 = D欲得欲得Qn+1 = JQn + KQn因此,令因此,令nnQKQJ nnQKQJD 4. D T5. D T已有已有 Qn+1 = D 欲得欲得Qn+1 =nQT 已有已有 Qn+1 = D欲得欲得 Qn+1 = Qn因此,令因此,令D = QnnQT 因此,令因此,令D =QQCPC11DQ

41、QCPC11DTQQCPJC11DK二、触发器的应用与分析举例二、触发器的应用与分析举例 触发器由门电路构成,因此,触发器由门电路构成,因此,门电路的应用门电路的应用注意事项在这里多适用。注意事项在这里多适用。例如,例如,TTL 触发器的输触发器的输入端悬空相当于输入高电平,而入端悬空相当于输入高电平,而 CMOS 触发器触发器的输入端不允许悬空。的输入端不允许悬空。应应用用注注意意 实际工作中,应根据需要选定触发器的功能实际工作中,应根据需要选定触发器的功能和触发方式。例如:同步触发器通常只用于数据和触发方式。例如:同步触发器通常只用于数据锁存,构成计数器、移位寄存器时一般要用边沿锁存,构成

42、计数器、移位寄存器时一般要用边沿触发器。触发器。触发器和门电路是构成数字系统的基本逻辑单元。触发器和门电路是构成数字系统的基本逻辑单元。前者具有记忆功能,用于构成时序逻辑电路;前者具有记忆功能,用于构成时序逻辑电路;后者没有记忆功能,用于构成组合逻辑电路。后者没有记忆功能,用于构成组合逻辑电路。本章小结本章小结触发器有两个基本特性:触发器有两个基本特性:有两个稳定状态;有两个稳定状态;在外信号作用下,两个稳定状态可相互转换,在外信号作用下,两个稳定状态可相互转换,没有外信号作用时,保持原状态不变。因此,没有外信号作用时,保持原状态不变。因此,触发器具有记忆功能,常用来保存二进制信息。触发器具有记忆功能,常用来保存二进制信息。一个触发器可存储一个触发器可存

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论