四位二进制加法计数器_第1页
四位二进制加法计数器_第2页
四位二进制加法计数器_第3页
四位二进制加法计数器_第4页
四位二进制加法计数器_第5页
已阅读5页,还剩17页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、成绩评定表学生姓名郭佳晨班级学号1203060120专业通信工程课程设计题目四位二进制加计数器评语组长签字:成绩日期2014年7月 日课程设计任务书学院信息科学与工程学院专业通信工程学生姓名郭佳晨班级学号1203060120课程设计题目四位二进制加计数器(缺 0110,0111,1010,1011 ,)实践教学要求与任务:1、了解数字系统设计方法2、熟悉VHDL语言及其仿真环境、下载方法3、熟悉Multisim环境4、设计实现四位二进制加计数器(缺 0110,0111,1010,1011)工作计划与进度安排:第一周 熟悉Multisim环境及QuartusH环境,练习数字系统设计方法, 包括采

2、用触发器设计和超高速硬件描述语言设计,体会自上而 下、自下而上设计方法的优缺点。第一周在QuartusH环境中用VHDL语言实现四位二进制加计数器(缺0110,0111,1010,1011)显示结果波形,并下载到目标芯片上,在实验箱上 观察输出结果。在Multisim环境中仿真实现四位二 进制加计数 器(缺0110,0111,1010,1011 ),并通过虚拟器验证其 正确性。指导教师:2014 年7月 日专业负责人:2014年7月 日学院教学副院长:2014年7月 日摘要Quartus II是Altera公司的综合性 PLD/FPGA开发软件,支持原理图、 VHDL、 VerilogHDL

3、以及 AHDL (Altera Hardware Description Language 等多种设计输入 形式,内嵌自有的综合器以及仿真器以完成从设计输入到硬件配置的完整 PLD设计流程。Multisim 是 In teractive Image Tech no logies (Electro nics Workbe nch 公司推出 的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。 它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。Multisim为用户提供了丰富的元器件,并以开放的形式管理元器件, 使得用户能够自己添加所需要的元器

4、件。本次数电课程设计使用 Quartus II仿真环境以及VHDL下载和Multisim仿 真环境来编译实现四位二进制同步减法计数器。 在Multisim中选用四个JK触发 器来实现四位二进制减法计数器。 运用卡诺图求解时序方程。逻辑电路图中,四 个小红灯即为显示器,从右到左显示时序图中的十二种状态,其中,灯亮表示“ 1”, 灭表示“ 0”,从而达到计数目的。关键字:VHDI硬件描述语言、四位二进制加计数器、QUARTUS、Multisim、目录一. 课程设计目的.1二. 课设题目实现框图.1三. 实现过程 .1(一)VHDL勺编译和仿真 .11. 建立工程 .12. VHDL 源程序43.

5、编译及仿真过程 64. 引脚锁定及下载 .95. 仿真结果分析 11(二)电路设计 111 求驱动方程 122. 基于 Multisim 的设计电路图 .153. 逻辑分析仪显示的波形 . 154. 仿结果分析 16四. 设计体会 16五. 参考文献 17课程设计目的1. 了解同步加计数器的工作原理和逻辑功能;2. 学会用VHDL语言对计数器进行编译和仿真;3. 掌握Quartusll的使用方法;4. 掌握Multisim的使用方法。状态图0000 01A1111 110】、课程设计实现框图0010 0011 100 -010114叶 110 001 T000(缺少 0110,0111,101

6、0,1011)三、实现过程(一 )VHDL的编译和仿真1. 建立工程创建一个工程,具体操作过程如下:(1) 点击File - New Project Wizard 创建一个新工程,如图1;2dCnncitmHiianrMHWUhw duaHui iBCwnu I lsn 匕- kEt:utr血1 CagiUfa* i :性qrriT目录;2.AH. I:fit 活i号百凶世即溶HtSSSK3 H ”*用冬S tlNow Project Wirdi Introduclt i ornThe New Projecl Wizard help you create a new project and p

7、feliminaffy project settings, including the followiiHig:Project name and direetory Name of the top-level design entity Project files: and libranies T arget device family and device EDA tool settingsYou ean chan口匕 the settinqs for 已n eKistin口 Etfoject and speeily addjitionall proneet-wide seHinqs uit

8、h the Settings command (Assignments menti). You can use the various pages of the Settings dialog box to add tunctionality to the projeet- Dont sKovm me this introduction 自口自诫!m_i&iaiai4i juMuuuuuLikiLi&iaiaidiJLJUMUuuMkiLiLisiaiaidiJuiaiSTiiuuLir BackNejc 七|取消 I(2) 点击Next,为工程选择存储目录、工程名称、顶层实体名等,并点击Ne

9、xt,若目录不存在,系统可能提示创建新目录,如图2所示,点击“是”按钮创建新(3) 系统提示是否需要加入文件,在此不添加任何文件,如图3;New Project Wizard: Add Files page 2 of 5Salact the design files au want to include in the project Click Add All to add all design files in the project directory to the project. Note: you can always add design files to the project

10、later.file name: |Fil nnmBUser Libraries.Specify the path names of any non-default libraries.I| Finish |取j肖(4) 点击Next,进入设备选择对话框,如图4,这里选中实验箱的核心芯片CYCLONE 系列 FPGA 产品 EP1C6Q240C8;(5) 点击Next,系统显示如图5,提示是否需要其他EDA工具,这里不选任何其他工具;图5(6)点击Next后,系统提示创建工程的各属性总结,若没有错误,点击Finish, 工程创建向导将生成一个工程,在窗口左侧显示出设备型号和该工程的基本信 息等

11、。2.VHDL源程序library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;en tity coun t16 isport(cp,r:in std_logic;q:out stdo gic_vector(3 dow nto 0);end coun t16;architecture behavioral of coun t16 is signal count:std_logic_vector(3 downto 0); beginprocess(cp,r)beginif r=0 then count=0000

12、;elsif cpevent and cp=1 thenif count=0101 thencount=1000;else count=count+1;if count=1001 then count=1100;else count=count+1;end if;end if;end if;end process;qNew 创建一个设计文件,选择设计文件的类型为 VHDL File, 如图 6;图6(2) 在编辑窗口中编辑程序,如图 7;! n - * , i:&wrticstairnlC - ?wt|10 rvhdl -MllgddErQjjM 酬计陌昭比吟弗两伽It BfkdOw Hilp

13、fcLtFVrcld EFIDSCVXD R 口 口卷t |sM1DFKviia1vmi vtrID11ISKrill imx a=.-tlty 6unE IO iaIKfTCPHEIl fTd_lCiQiailqicnrc-叮bw工強 dwnx 4U j宰nd&r-dxi?Hccur* b-ihjavdazBl of ozunElD ur二E.l=dlug:i=_nuuH (3 dnvatc- QJ ;tsglhCXOat3i|epiZJbegi-nIL rQ,!時hvn !90&*13.1-3BLitaE* cp 1 avKHE. m=j cp 111E ewa10Dl evc. *ran

14、t3uriciswiaT-iJ- if cwinc0111B Tftn 丹硼:;阳13咋| Sn cqujicc.*eew= -1;lF wicnPWB xlian=6&%Start Compilatio n编译该文件,系统将开始编译,结束后,给出提示信息和编译结果,如图8所示:BblLLTMrma |cr ihp-iuril曲 q沁hm匚鲨申h4 MJ44a Ir*皐31 gJ 口门乂1 Iff TI5aLILhL JU心AM Eltf-aid Sum 15 T町 FlS Aflslyai e L fwHi.a FLLur AoiiMiLh- TuiE AcrilywrZe谥-In Jn l

15、*W I? 1l4 .LilJ 血 迪皿.W 5J 3UL Vr.iiH C-|i|4Jti4IT临I # e11+ IhUfk Kaj.m ?BlkaBin74= riH- iriKR mi心.3- feELMlM-ir .kWAAfc pFhiMuKC fll+1 *! CLaiai . ihibi Aaapni L虽黔 Ik ini vnr辱 rrfip-w Hmsh 勺* Trffriaiarj伽.nl*.lMI ib I MMCi-LmtL IteLLjF IiMrrL-xa. f 1.-MD I 5/ S pl.(5) 建立时序仿真文件,选择“ Vector Waveform Fi

16、le” 如图9;IJ4.M-ML MbTIV讪Tl C-M|!|4il4IL5feLILkfLEXif-aad lu* ts rwiri-心Qrtai|Dai4nLMj|riTFl MTFLbtRIhFl- Le誓_!打皿戸1 E L 珅* L 甘 JhLLWA-_l Ahr4iLh- _| Tahen*rMuijc HI*EOF Rd弘bbHmItmF.TdiinflFfewbfiHnLrta*CLFWMnnFivi”*333. Hri-F-sTujir*MW*-F taiIMpna Euui jrd ri;M.rtb LWMs- 寸 Fk Win I Lagr 沪TEE屉 MH他-iv J

17、u 悒 ffl r? 113 l EtaiU i罰 LOa越1 KJ ML Vvr.nrtL-ju CTiLteCa1wi口:-:Oftn Fhnk riiw ifLxq t rlq:iI* hELUiUr 心屮勰百 IFXITiKiKI fll+1 M-ILh:UFiB 贵XJi知呦Fh OwiDrimrt Ffe SaCwv SUvii r. T*rfF*f4 f ( L I 1 B- MR C 3 X tD0? ffi.lMi I ) 0/ S (o-fl.Isufs: rur: ?MECU_Ein -rB*d_nrsE:ri7i_fALii-i?E2EeJci: EibTiiLLli

18、tnuSihd ird vilL iui* bt riw J pr-aziA-:EH dtuftKaiS&Lifi PEiM plfiJ49 uMtmefl eLiSS UMX肚田ZlMh* lacmuZ ui. u rutr3.etd r-: 379-D3 MZi bfltm: jrn eiueit mnt |3| isd dutswtisc raUEir :ebe jl| 9 Ed Esda EJock Ed Sa-JELIWELEn PLD vefeo-ji, 1 yiztilsEl TM;mm 中S0 X Fimwy iMl 上 Euhdu k 点I k El耳 上 口何*。,“、Er

19、 kA, J IE(6) 出现的界面中,在 Name空白处击右键,Insert Insert Node or Bus,单 击NodeEind已.,单击_里_,再单击海| 0Q0并对其进行仿真,如图10所示;h :旳瞄啪to tai l Itn Hgr EtlJj lU* teilvi址 CLuri STIO2K11fe1山加4 Mxrr.!:!.! tiw., 4” Banahlar l:Jurl |irB|pm& 4*11 .rnJ sZClwaK Fi- re Oaljni _ Ei- E3U IkdLEL triiririP* Fb( Im O,fHL h梆 MB JM: LHK3 3A

20、vIb 3 cuLe JULE RCCEJbJZTBTLEfi J-EM3d -丄 iKLII |il| EjgUEa10 5jim 牌 赳烷1 k E.申Hd人h 就川幫眉、整a.宜 E立 k亏耳钟日 k FM /.*r:Mku# 1 74曲 43“$ H 竹HlMElQ/dE】G - CflMnilQ - |丈叫貝护円-宜glflH苦 踽吟叶啊F w 2醪金* 習气电 &迤書cunlQ-itidcajtlQ-rtMfirrullKin Wvwfnrni*Eisnl叭i如V牌吟创Z1SUBJ-ELCt P*EE.LU = EM3 30ED J. TUJ3X&1 OSrSbuLaEicc to

21、texuji Lb讯工片 NHuMXf 6t lEtidLCls&J Li 31uLj.l!:i!il Lt !4JUCU9 E SlalLtra 已耳 SVCMMCuL. B WEM5. 0 ME&LE2 H史p. nws FlI Sprim0T| hwng l|lO| ” E*rda 九 1曲皿 人 WwigCrAoriZrrngEra i EuppMitKi Ftag fJJ *Jh - hrmury暫 fi#gfiWAuifi#HVtKI-陀軀*U0pAPrant-ivarSvnJfriQn R*pon 占曲 Lvgd Halkp HQW SuneiHT &w Srtti4jS占母 i

22、rruIrian WwFarmi r 盘StruMan Cevt-s&e INI曲樺1*3Vhlw- 1 L*5 KU-i.iChA 03Ta *(15 ! 1讯眄”叶R.jri_7L_m_r nn_rLnJVjsu y u(uI)e pHj阿匚 irlr - |H._* ali:LJ*网 中祖址*.L$4. 引脚的锁定及下载各引脚的锁定如表1所示:信号名称引脚cp28q3114q2115qi116qO117r58引脚的锁定和下载分别如图12和图13所示:書 ry.Ahi n -VCOrnilWrijmC - nilp - |04丽.Rqw - E nMfH侶 牘火吶创 Ee J ji 7i

23、!-a ri.ijr,i q j. vi 丄HriyEf cunllj-i+id會 craALC* 曲Tahg& * r-: =! EEk FaQi ehnd |Qui44-q- D;Pin Pla01* d 套 垃 Pjccinniingi J4a 如 rt=*i- | 色 CEUrtlO -A川粗声辔舍-畔气书R書国山*TBp-Vmi弼怕B-zrriEP1CEa34KBFrsp-M liFI Elm*LhTTlrI014a旧*G*q|Llx 亠rtH :3sMJ3 _W mmU二1州uVTTl萤*旳4i.J,-HLEl 测颐mj but.laii*Fur Hlp. praB FLiZP E

24、nohirW-tn BFta 4m bflfmndairmlkn HW*; I ckKVi|他 liJp. fTaCL FlQbfirruri ETni /07! l/ylIril Ftmmy HOl Xk 丨戏川口 k 疋.切山e-qk E# k 吁酣m:日 、Ffe 丄 Hwhwg 恥H.ztIta升曲Ct-EA-m- Bmch寻 Ctti iin rki -nwnLiiiv1*F*.I bfCILlwctrin|uraDdfll=XVwI眾pHKS1Z3FTflTTfrsjl(HJKtv rT#D呼dlijuHriAlaah 口l:5d: Zrua Eeffi: Zrr.tEt L址Oi

25、 聲! L21 Life:三=1二 CafA: SiHuLife一H JJWT 4t: b1至图135. 仿真结果分析结果分析:由仿真波形图可以清晰的看出减法计数器的工作过程,由0000起依次递加,最后加1111两个状态。当复位键复位后,回到 0000重新开始循环。2. Multism实现过程1 求驱动方程相关结构示意框图和状态转换图见上 (二)所示步骤。选择四个时钟脉冲下 降沿触发的JK触发器,因要使用同步电路,所以时钟方程应该为CP0 =CR =CP2 =CP3 =CP(1)求状态方程由所示状态图可直接画出如图 2.1所示电路次态Q31 1 Q; 1 Q1n 1 Q01 1的卡诺 图,再分

26、解开便可以得到如图2.2所示各触发器的卡诺图。要的逻辑门外,没有专门的运算电路;计算结果由电路中的各个触发器记忆和表 示;计数器计满之后自动归零,若再输入CP脉冲又会从零开始计数,在CP脉冲 作用下,它总是周而复始循环工作的。 Q; Q0Q3n 1000111100000010010010000110101011000xxxxxxxx1111011110000011111010011100xxxxxxxxn 1b.Q 3的卡诺图:q:q;00011110Q3n Q2 0000000101xx11厂110C 11011xxJLJc. q2 1的卡诺图: Q:QoQan q20001111000o

27、10oir 10Xr力Xii产1101JJvJio0XXn 1d.Q,的卡诺图:1n QoQa Q200011110000I10( 、10100XX110厂r1011000XXL 丿e.Q0 1的卡诺图: Qin QooooiiiioQa q200oooiioXXiiiooiioioXXJ(2)状态方程Q3 1 =Q;Q(n Q3q2 QanQin=Q2Q1Q0 Q3nQ1nQo QdQ;Qin 1 = Qin Q01 QinQ(n Q3nQ2Q0qO 1 二q: q31q2 Q31Q2nQin(3)驱动方程JK触发器的特性方程 Qn JQn KQn将状态方程与特性方程比较,可得驱动方程,如下

28、J3 二 QMK3 二 QWJ 2 = Q01 Q31Qin心=Q1 Qn QnJi 二 Q?(QTQ1QrQ1)Ki 二Q;Jo =iKo = i2. 基于Multisim的设计电路图根据上面计算出的结果的不同J和K值选择不同的元件进行电路的连接。 电路图3.逻辑分析仪显示的波形逻辑分析仪显示的波形如图15所示:22时间1.749iTen3Tax?Tent 10TamUTen 14Temli触发0000 0000限走器时钟时钟 s/Div 99 遁外損Hit相反4.仿真结果分析结果分析:由图15可以清楚地看到在一个周期内,由小到大,依次完成了加计 数器的功能。由于缺省了 0110,0111,1010、1011这两个状态,波形仿真中状态 发

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论