机动车超载检测系统的设计毕业设计说明书_第1页
机动车超载检测系统的设计毕业设计说明书_第2页
机动车超载检测系统的设计毕业设计说明书_第3页
机动车超载检测系统的设计毕业设计说明书_第4页
机动车超载检测系统的设计毕业设计说明书_第5页
已阅读5页,还剩63页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、兰州理工大学毕业设计说明书 i 摘 要 本设计为机动车超载检测系统的设计,要求能够判断机动车是否超载并且在超载 时能够提供报警和显示超载的重量。本设计采用 at89c51 单片机作为系统处理的核心, 利用桥式称重传感器 wpl110 将机动车载重量转换成电压信号;然后通过放大电路将电 压信号调理后送到 a/d 转换芯片 tlc0838 转换成数字信号并计算出载重量;所得的载 重量将通过 rs485 接口电路送到上位机,上位机与设定的载重量作比较并判断是否超 载,若超载显示超载的重量并报警。 关键字:at89c51at89c51 单片机单片机;超载检测;称重传感器;超载检测;称重传感器;a/da

2、/d 转换;显示;转换;显示; 兰州理工大学毕业设计说明书 ii abstract the design for the motor overload detection system design, requires to determine whether motor overloads and when overloads gives an alarm and displays the weight of overloading.this design uses at89c51 microcontroller as the core system processing, the use

3、of a bridge load weighing sensor wpl110 changed motor weight into voltage signals; then the voltage signal via amplifier circuit and sent to a / d converter chip tlc0838 into digital signals and calculates the load; obtained through the rs485 interface circuit load to the host computer, the host com

4、puter and the seting load for comparison and to determine whether the overload, if overload shows the weight and gives an alarm. keyword: at89c51microcontroller;overloading detector;weighing sensor;a / d converter;show; ; 兰州理工大学毕业设计说明书 iii 毕业设计(论文)原创性声明和使用授权说明毕业设计(论文)原创性声明和使用授权说明 原创性声明原创性声明 本人郑重承诺:所

5、呈交的毕业设计(论文) ,是我个人在指导教师的 指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和 致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不 包含我为获得 及其它教育机构的学位或学历而使用过的材料。 对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的 说明并表示了谢意。 作 者 签 名: 日 期: 指导教师签名: 日期: 使用授权说明使用授权说明 本人完全了解 大学关于收集、保存、使用毕业设计(论文) 的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本; 学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅 览服务;学

6、校可以采用影印、缩印、数字化或其它复制手段保存论文;在 不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名: 日 期: 兰州理工大学毕业设计说明书 iv 学位论文原创性声明学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所 取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任 何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡 献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的 法律后果由本人承担。 作者签名: 日期: 年 月 日 学位论文版权使用授权书学位论文版权使用授权书 本学位论文作者完全了解学校有关保

7、留、使用学位论文的规定,同意 学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文 被查阅和借阅。本人授权 大学可以将本学位论文的全部或 部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手 段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期: 年 月 日 导师签名: 日期: 年 月 日 兰州理工大学毕业设计说明书 v 目目 录录 摘摘 要要.i abstractabstract.ii 第一章第一章 绪绪 论论.1 1.1 选题背景.1 1.2 主要设计(研究)内 容 .2 1.3 系统主要技术指标.2 1.4 解决的关键问题.2 第二章第二章 系统总体

8、结构方案设计系统总体结构方案设计.3 2.1 系统总体结构及其功能.3 2.2 方案设计.3 2.2.1 方案一.3 2.2.2 方案二.4 2.3 方案的论证.4 2.3.1 avr 系列 .4 2.3.2 51 系列 .5 2.4 方案的确定.5 第三章第三章 系统的硬件设计系统的硬件设计.7 3.1 单片机.7 3.2 采集模块.8 3.2.1 惠斯登电桥.8 3.2.2 称重传感器 wpl110 .9 3.2.3 op07 芯片 .11 3.2.4 tlc0838 芯片 .13 3.2.5 小结 .15 3.3 键盘模块.16 3.4 显示模块.17 3.5 通讯模块.18 3.5.1

9、 rs-485 的优点 .18 兰州理工大学毕业设计说明书 vi 3.5.2 max485 芯片 .19 3.6 看门狗模块.20 3.6.1 max813l 芯片 .20 3.6.2 24c02 芯片 .23 3.7 报警模块.24 3.8 电源模块 .24 第四章第四章 软件设计软件设计.26 4.1 系统主程序的设计.26 4.1.1 设计思想 .26 4.1.2 资源分配 .26 4.1.3 主程序流程图 .26 4.2 参数恢复子程序的设计.27 4.2.1 设计思想 .27 4.2.2 资源分配 .28 4.2.3 参数恢复子程序流程图 .28 4.3 看门狗中断子程序的设计.30

10、 4.3.1 设计思想 .30 4.3.2 资源分配 .30 4.3.3 看门狗中断子程序流程图 .31 4.4 通讯子程序的设计.32 4.4.1 设计思想 .32 4.4.2 资源分配 .33 4.4.3 通讯子程序流程图 .33 4.5 键盘子程序的设计.34 4.5.1 设计思想 .34 4.5.2 资源分配 .35 4.5.3 键盘子程序流程图 .35 4.6 采集子程序的设计.36 4.6.1 设计思想 .36 4.6.2 资源分配 .36 4.6.3 采集子程序流程图 .37 4.7 显示子程序的设计.38 4.7.1 设计思想 .38 4.7.2 资源分配 .38 兰州理工大学

11、毕业设计说明书 vii 4.7.3 显示子程序流程图 .39 第五章第五章 总结总结.40 5.1 系统先进性.40 5.2 系统局限性.40 参考文献参考文献.41 英文原文及翻译英文原文及翻译.43 原文 .43 翻译 .49 致谢致谢.53 附录附录.54 1 程序清单:.54 (1)主程序: .54 (2)恢复参数子程序: .55 (3)看门狗中断子程序: .58 (4)通讯子程序: .58 (5)键盘子程序: .59 (6)采集子程序: .60 (7)显示子程序: .63 2 元器件清单:.64 兰州理工大学毕业设计说明书 1 第一章 绪 论 1.1 选题背景 车辆超载是指运输车辆所

12、载的货物质量超过了额定装载质量的情况,车辆超载有着 以下危害: 1车辆超载会增加车辆对路面的损害,按照国际上通用的计算方法,汽车轴载质 量对公路路面的破坏关系服从“十六次方法则” ,即汽车轴载质量每增加一倍,公路受 损将增加 16 倍,全国公路每年因车辆超载造成的损失超过 300 亿元。 2. 容易引发道路交通事故,汽车超载之后,车辆处于超负荷状态行驶,会导致车 辆的制动和操作性等安全性能迅速下降,表现为轮胎变形爆胎、刹车失灵、转向器轻 飘抖动、钢板弹簧折断、半轴断裂等等。据统计载重货车道路交通事故中有 80%以上是 由于超载运输所造成的。可见对于如何限制汽车超载的研究对道路的保养和减少交通

13、事故有极其重要的意义。 目前,为有效治理机动车严重违章超载、超限运输现象,预防道路交通事故,维 护良好的道路交通秩序,许多交通管理部门专门成立了“治超”机构,“治超”人员 日夜上路查堵,往往造成交通堵塞,查一漏百,而人工判断又缺乏准确性,查堵中的 不正之风时有发生。鉴于这种情况,在超载车必经的道路下埋上检测仪器,通过电脑 自动识别是否超载就显得尤为重要。 本设计就是基于这种需求,设计一基于单片机的机车超载检测系统,对过往机动 车辆进行称重,判断是否超载,若超载显示超载重量并进行报警。要判断汽车是否超 载首先要知道车辆所装载的货物质量,随着传感器技术和微处理器技术的发展,利用 电子技术也可以测量

14、出物体的质量进而实现对汽车超载的监控,而且精度可以做的很 高。利用电子技术判断汽车是否超载的基本的原理是:利用传感器将汽车的载重量转 化为电压信号,然后通过放大电路将这个微弱的电压信号按比例放大后送 a/d 转换芯 片转换为数字信号,通过接口电路将这个数字信号传送给计算机进行处理,计算出汽 车的载重量并判断是否超载,如果超载了要显示超载的重量并报警。 1.2 主要设计(研究)内 容 本设计涉及一基于单片机设计的机车超载检测系统,在高速公路收费口的路面上 装有固定连着传感器的钢板,当汽车经过时,车辆的重量就会被称重传感器测量出来, 兰州理工大学毕业设计说明书 2 送到变送器处理后,再传送到计算机

15、,经过分析判断,就会对超载车辆发出警报。 设计实现的主要功能: (1)该系统有 32 个传感器,每个收费路口用 4 个传感器组成一个称,可同时检测 8 个收费口; (2)一台计算机控制 32 个变送器工作,采用多点通讯形式; (3)计算机通过发送命令字控制相应的变送器进行校正、故障诊断、数据采集等 工作,; (4)具备掉电参数保护功能,上电后参数恢复功能; (5)能够遵循 rs-485 通讯网络协议,生成标准 modbus 通信数据包。 1.3 系统主要技术指标 本系统所实现的主要技术指标如下: (1)参数测量误差范围为不大于1%; (2)实时采集周期1 次/1 分钟,发送周期1 次/1 小时

16、; (3)监控数量:32 台; (4)数据输出:所有表格数据,查询结果均可输出为 excel 文件。 1.4 解决的关键问题 本系统需要解决的关键问题如下: (1)寻找适合现场条件的称重传感器。传感器的灵敏度、测量范围都会影响所测 数据的准确性。 (2)测量数据的处理。若测量数据处理不当,系统就会做出错误的判断。 (3)键盘输入。键盘用来设定上限值和解除报警。 (4)掉电保护。在一些测控系统中,存在电源开断、瞬时电压不稳等不安全因素, 将会造成系统死机、信息丢失、运行不稳定等故障,这就需要掉电保护。 (5)与上位机的通信。 第二章 系统总体结构方案设计 兰州理工大学毕业设计说明书 3 2.1

17、系统总体结构及其功能 设计总体结构如图 2.1 所示。 图 2.1 以单片机为处理器的系统 本设计为机动车超载检测系统的设计,要求能够判断机动车是否超载并且在超载 时能够提供报警和显示超载的重量。本设计采用 at89c51 单片机作为系统处理的核心, 利用桥式称重传感器 wpl110 将机动车载重量转换成电压信号;然后通过放大电路将电 压信号放大后送到 a/d 转换芯片 tlc0838 转换成数字信号并计算出载重量;所得的载 重量将通过 rs485 接口电路送到上位机,上位机与设定的载重量作比较并判断是否超 载,若超载显示超载的重量并报警;参数可通过键盘设定,键盘还可解除报警。 2.2 方案设

18、计 2.2.12.2.1 方案一方案一 处理器基于 avr 的机动车超载检测系统。 本检测系统主要由主控制板、显示部分、键盘部分、采集部分、串行通信部分和 报警部分构成。主控制板主要由 avr 单片机、cpld、滤波电路、电平转换电路等构成。 avr 单片机主要实现控制功能,cpld 主要实现 i/o 口扩展、逻辑判断等功能。系统经 过初始化之后,先用键盘设定载重上限值,然后采集数据,由 avr 单片机判断是否超 载,超载要发出报警。 2.2.22.2.2 方案二方案二 处理器基于 51 系列单片机的机动车超载检测系统。 系统硬件框图如下所示: 兰州理工大学毕业设计说明书 4 图 2.2 基于

19、 51 系列单片机的系统硬件框图 本次设计采用 51 系列的 at89c51 单片机作为系统处理的核心,利用桥式称重传 感器 wpl110 将机动车载重量转换成电压信号;然后通过放大电路将电压调理后送到 a/d 转换芯片 tlc0838 转换成数字信号并计算出载重量;所得的载重量将通过 rs485 接口电路送到上位机,上位机与设定的载重量作比较并判断是否超载,若超载显示超 载的重量并报警;参数可通过键盘设定,键盘还可解除报警。 2.3 方案的论证 2.3.12.3.1 avravr 系列系列 avr 单片机是 atmel 公司推出的较为新颖的单片机,其显著的特点为高性能、高速 度、低功耗。它取

20、消机器周期,以时钟周期为指令周期,实行流水作业。 通用寄存器一共 32 个(r0r31) ,前 16 个寄存器(r0r15)都不能直接与立即 数打交道,因而通用性有所下降。 avr 系列没有类似累加器 a 的结构,它主要是通过 r16r31 寄存器来实现 a 的功 能。在 avr 中,没有像 51 系列的数据指针 dptr,而是由 x(由 r26、r27 组成) 、 y(由 r28、r29 组成) 、z(由 r30、r31 组成)三个 16 位的寄存器来完成数据指针的 功能(相当于有三组 dptr),而且还能作后增量或先减量等的运行。 2.3.22.3.2 5151 系列系列 51 系列内部的

21、硬件到软件有一套完整的按位操作系统,称作位处理器,或布尔处 理器。它的处理对象不是字或字节而是位。虽然其他种类的单片机也具有位处理功能, 但能进行位逻辑运算的实属少见。51 系列在片内 ram 区间还特别开辟了一个双重功能 的地址区间,十六个字节,单元地址 20h2fh,它既可作字节处理,也可作位处理, 使用极为灵活。 avr 系列单片机不能直接对 ram 单元中的位进行操作,若想对 ram 中的某位置位时, 必须通过状态寄存器 sreg 的 t 位进行中转。 51 系列的 i/o 脚的设置和使用非常简单,当该脚作输入脚使用时,只须将该脚设 兰州理工大学毕业设计说明书 5 置为高电平(复位时,

22、各 i/o 口均置高电平) 。当该脚作输出脚使用时,则为高电平或 低电平均可。低电平时,吸入电流可达 20ma,具有一定的驱动能力;而为高电平时, 输出电流仅数十 a 甚至更小(电流实际上是由脚的上拉电流形成的) ,基本上没有驱 动能力。其原因是高电平时該脚也同时作输入脚使用,而输入脚必须具有高的输入阻 抗,因而上拉的电流必须很小才行。作输出脚使用,欲进行高电平驱动时,得利用外 电路来实现,i/o 脚不通,电流经 r 驱动 led 发光;低电平时,i/o 脚导通,电流由该 脚入地,led 灭(i/o 脚导通时对地的电压降小于 1v,led 的域值 1.51.8v) 。 2.4 方案的确定 本次

23、设计采用 51 系列 at89c51 单片机,选用它作为核心控制新片,可使电路极大 地简化,而且程序的编写及固化也相当方便、灵活。 与系统相关的技术方案包括:看门狗的选择、传感器的选择、a/d 转换转换器的选 择、显示器的选择、通讯模块的选择及电源方案等。 a)看门狗的选择:看门狗芯片可以选择 x25045 和 max813l 等。x25045 内部没 有故障检测,而 max813l 内部自带了看门狗跃迁检测器,故选用 max813l。它有加 电、掉电以及供电电压下降情况下的复位输出,复位脉冲宽度典型值为 200 ms;独立 的看门狗输出,如果看门狗输入在 16 s 内未被触发,其输出将变为高

24、电平;1.25 v 门限值检测器,用于电源故障报警、电池低电压检测或5 v 以外的电源监控;低电平 有效的手动复位输入;8 引脚 dip 封装。 b) 传感器的选择:桥式称重传感器有 wpl110、cl110 等, cl110 的额定载荷是 1030t,而 wpl110 的额定载荷 是 1050t ,故本设计选择 wpl110。它抗偏载,组 合压头具有自动复位与调心功能。高精度、低功效、全密封,适用于各类恶劣环境。 量程是 1050t ,综合精度达到 002(线性+滞后+重复性),灵 敏 度是 2.0 mv/v ,工作温度在-20+65 ,输入阻抗是 700 ,输出阻抗是 750 ,安全 过载

25、:150 f?s ,供桥电压为 12vdc 。 c) a/d 转换转换器的选择:a/d 转换转换器,根据输出的信号格式,可分为并行 ad 和串行 ad。并行方式一般在转换后可直接读取数据,但芯片的引脚比较多;串 行方式所用芯片引脚少、封装小,在 pcb 板上占用的空间也小。故本设计采用串行 adtlc0838。tlc0838 是美国德州仪器公司生产的 8 位逐次逼近模数转换器。具有输 进可配置的多通道多路器和串形输进输出方式。它有 8 位分辨率;易于和微处理器接 口或独立使用;可满量程工作;可用地址逻辑多路器选通 8 输进通道;单 5v 供电,范 围为 05v:输进和输出与 ttl、cmos

26、电平兼容;时钟频率为 250khz 时,其转换时间 为 32s;总调整误差为1lsb。 d) 显示器的选择:本设计采用 led(light emitting diode),发光二极管, 它是一种固态的 半导体器件,它可以直接把电转化为光。 led 的心脏是一个半导 兰州理工大学毕业设计说明书 6 体的晶片,晶片的一端附着在一个支架上,一端是负极,另一端连接电源的正极, 使整个晶片被 环氧树脂封装起来。 led 体积小,耗电相当低,直流驱动,超低功耗 (单管 0.03-0.06 瓦) ,电光功率转换接近 100%。一般来说 led 的工作电压是 2- 3.6v,工作电流是 0.02-0.03a;

27、 e) 通讯模块的选择:本设计采用 rs-485 通讯网络协议,rs-485 驱动器和接收器 价格便宜,并且只需要单一的一个+5v(或者更低)的电源来产生差动输出需要的最小 1.5v 的压差。rs-485 是一个多引出线接口,这个接口可以有多个驱动器和接受器,而 不是限制为两台设备。利用高阻抗接受器,一个 rs-485 连接可以最多有 256 个接点, 最长可以达到 4000ft,比特率可以高达 10mbps。 f)电源方案:本设计中的电源主要有两种:、+5v 和+12v。+5v 为单片机、 tlc0838、显示、键盘、蜂鸣器、max813l 及 max485 的模拟电源与数字电源; +12v

28、 的 电源是供给运算放大器、传感器和看门狗芯片的。 综上所述,我们本次设计采用 51 系列的 at89c51 单片机作为系统处理的核心, 利用桥式称重传感器 wpl110 将机动车载重量转换成电压信号;然后通过放大电路将 电压信号放大后送到 a/d 转换芯片 tlc0838 转换成数字信号并计算出载重量;所得的 载重量将通过 rs485 接口电路送到上位机,上位机与设定的载重量作比较并判断是否 超载,若超载显示超载的重量并报警;参数可通过键盘设定,键盘还可解除声光报警。 第三章系统的硬件设计 本系统主要由看门狗模块、通讯模块、键盘模块、采集模块和显示模块组成,硬 件框图如图 3.1 所示。 图

29、 3.1 系统硬件框图 下面将具体介绍各个主要组成部分的功能、选型以及具体连接方式。 兰州理工大学毕业设计说明书 7 3.1 单片机 at89c51 是一种低功耗、高性能 cmos8 位微控制器,具有 8k 在系统可编程 flash 存储器。使用 atmel 公司高密度非易失性存储器技术制造。 在单芯片上,拥有灵巧的 8 位 cpu 和系统可编程 flash,使得 at89c51 为众多嵌入式 控制应用系统提供高灵活、超有效的解决方案。芯片图如图 3.2 所示。 3.2 采集模块 3.2.13.2.1 惠斯登电桥惠斯登电桥 1 1 桥路形式桥路形式 在应变计的电测技术中,应用最广泛的测量电路是

30、惠斯通电桥电路。测量电桥由 于具有灵敏度高、测量范围宽、电路结构简单、精度高、容易实现温度补偿等优点, 因此能很好地满足应变测量的要求。 电桥根据电源的性质分直流电桥和交流电桥两种,当 ui 为直流时该电桥为直流电 桥。电桥电路如图 3.3 所示,它的四个桥臂由 r1、r2、r3、r4 组成。当四臂电阻 r1=r2=r3=r4=r 时,称为等臂电桥;当 r1=r2=r,r3=r4=rr 时,称为输出对称电 桥;当 r1=r4=r,r2= r3=rr 时,称为电源对称电桥,本设计采用等臂电桥。 兰州理工大学毕业设计说明书 8 图 3.3 电桥电路 2 2 工作方式:工作方式: 单臂工作:电桥中只

31、有一个臂接入被测量,其它三个臂采用固定电阻; 双臂工作:如果电桥两个臂接入被测量,另两个为固定电阻就称为双臂工作电桥, 又称为半桥形式; 全桥方式:如果四个桥臂都接入被测量则称为全桥形式。 本设计采用全桥方式。 3.3.输出方式输出方式 电桥的输出方式有电流型和电压型两种,主要根据负载情况而定。本设计采用的 是电压输出型,故只介绍电压输出型。 当电桥输出端接有放大器时,由于放大器的输入阻抗很高,所以可以认为电桥的 负载电阻为无穷大,这时电桥以电压的形式输出。输出电压即为电桥输出端的开路电 压,其表达式为: (1-3-1) 对于等臂电桥 r1=r2=r3=r4=r ,当 r1 的电阻增量 r1=

32、r 时,可得输出电压为: (1-3-2) 在实际使用中为了进一步提高灵敏度,常采用等臂电桥,四个被测信号接成两个 差动对称的全桥工作形式,r1=r+r,r2=r-r,r3=r+r,r4=r-r,将上述条件代入 式(1-3-1)得 (1-3-3) 由式(1-3-3)看出,由于充分利用了双差动作用,它的输出电压为单臂工作时的 4 倍,所以大大提高了测量的灵敏度。 本设计中用的传感器是 wpl110,它输入阻抗是 770,输出阻抗是 700,即 兰州理工大学毕业设计说明书 9 r=70,输入电压 u=12v,代入公式 1-3-3 可知,电桥的输出电压 v u 0909 . 1 770 7012 0

33、即电桥输出电压范围是 01v.已知 tlc0838 的输入电压是 05v,故需将电压放 大 5 倍。 3.2.23.2.2 称重传感器称重传感器 wpl110wpl110 1 电阻应变式称重传感器原理电阻应变式称重传感器原理 电阻应变式称重传感器是基于这样一个原理:弹性体(弹性元件,敏感梁)在外 力作用下产生弹性变形,使粘贴在他表面的电阻应变片(转换元件)也随同产生变形, 电阻应变片变形后,它的阻值将发生变化(增大或减小) ,再经相应的测量电路把这一 电阻变化转换为电信号(电压或电流) ,从而完成了将外力变换为电信号的过程。 由此可见,电阻应变片、弹性体和检测电路是电阻应变式称重传感器中不可缺

34、少 的几个主要部分。弹性体是一个有特殊形状的结构件。它的功能有两个,首先是它承 受称重传感器所受的外力,对外力产生反作用力,达到相对静平衡;其次,它要产生 一个高品质的应变场(区) ,使粘贴在此区的电阻应变片比较理想的完成应变棗电信号 的转换任务。 2 检测电路检测电路 检测电路的功能是把电阻应变片的电阻变化转变为电压输出。因为惠斯登电桥具 有很多优点,如可以抑制温度变化的影响,可以抑制侧向力干扰,可以比较方便的解 决称重传感器的补偿问题等,所以惠斯登电桥在称重传感器中得到了广泛的应用。 因为全桥式等臂电桥的灵敏度最高,各臂参数一致,各种干扰的影响容易相互抵 销,所以称重传感器均采用全桥式等臂

35、电桥。 3 称重传感器的选择称重传感器的选择 称重传感器实际上是一种将质量信号转变为可测量的电信号输出的装置。用传感 器首先要考虑传感器所处的实际工作环境,这点对正确选用传感器至关重要,它关系 到传感器能否正常工作以及它的安全和使用寿命,乃至整个衡器的可靠性和安全性。 传感器量程的选择可依据秤的最大称量值、选用传感器的个数、秤体的自重、可 能产生的最大偏载及动载等因素综合评价来确定。一般来说,传感器的量程越接近分 配到每个传感器的载荷,其称量的准确度就越高。但在实际使用时,由于加在传感器 上的载荷除被称物体外,还存在秤体自重、皮重、偏载及振动冲击等载荷,因此选用 传感器量程时,要考虑诸多方面的

36、因素,保证传感器的安全和寿命。 根据经验,一般应使传感器工作在其 30%70%量程内,但对于一些在使用过程 中存在较大冲击力的衡器,如动态轨道衡、动态汽车衡、钢材秤等,在选用传感器时, 一般要扩大其量程,使传感器工作在其量程的 20%30%之内,使传感器的称量储备 兰州理工大学毕业设计说明书 10 量增大,以保证传感器的使用安全和寿命。传感器型式的选择主要取决于称量的类型 和安装空间,保证安装合适,称量安全可靠;另一方面,要考虑厂家的建议。厂家一 般会根据传感器的受力情况、性能指标、安装形式、结构型式、弹性体的材质等特点 规定传感器的适用范围,譬如铝式悬臂梁传感器适用于计价秤、平台秤、案秤等;

37、钢 式悬臂梁传感器适用于料斗秤、电子皮带秤、分选秤等;钢质桥式传感器适用于轨道 衡、汽车衡、天车秤等;柱式传感器适用于汽车衡、动态轨道衡、大吨位料斗秤等。 所以,采用的传感器满足仪表输入灵敏度的要求,能够与所选仪表匹配。满足整 台电子秤准确度的要求。一台电子秤主要是由秤体、传感器、仪表三部分组成,在对 传感器准确度选择的时候,应使传感器的准确度略高于理论计算值,因为理论往往受 到客观条件的限制,如秤体的强度差一点,仪表的性能不是很好、秤的工作环境比较 恶劣等因素都直接影响到秤的准确度要求,因此要从各方面提高要求,又要考虑经济 效益,确保达到目的。 4 4 称重传感器的基本应用称重传感器的基本应

38、用 称重传感器主要应用在各种电子衡器、工业控制领域、在线控制、安全过载报警、 材料试验机等领域如电子汽车衡、电子台秤、电子叉车、动态轴重秤、电子吊钩秤、 电子计价秤、电子钢材秤、电子轨道衡、料斗秤、配料秤、罐装秤等。 综合考虑以上因素,本设计采用桥式称重传感器 wpl110。桥式称重传感器 wpl110.具有大力值、抗偏载,组合压头具有自动复位与调心功能。高精度、低功效、 全密封适用于各类恶劣环境。适用于汽车衡、轨道衡以及各类电子衡器等。 wpl110 的技术参数如表 1 所示: 表 1 wpl110 的技术参数 型号wpl110 量程1050t 综合精度002(线性+滞后+重复性) 灵 敏

39、度2.0mv/v 零点温度影响0.02f?s/10 输出温度影响0.02f?s/10 工作温度 -20+65 输入阻抗770 输出阻抗700 安全过载150 f?s 供桥电压建议12vdc 材 质合金钢 兰州理工大学毕业设计说明书 11 接线方式电源(+)红线;电源(-)绿线; 输出(+)黄线;输出(-)白线 3.2.33.2.3 op07op07 芯片芯片 1.op071.op07 简介简介 op07 芯片是一种低噪声,非斩波稳零的单运算放大器集成电路。由于 op07 具有非 常低的输入失调电压(对于 op07a 最大为 25v) ,所以 op07 在很多应用场合不需要额 外的调零措施。op

40、07 同时具有输入偏置电流低(op07a 为2na)和开环增益高(对于 op07a 为 300v/mv)的特点,这种低失调、高开环增益的特性使得 op07 特别适用于高 增益的测量设备和放大传感器的微弱信号等方面。 2.op072.op07 特点特点 op07 有许多特点,如不超过 150v 的超低偏移;1.8na 的低输入偏置电流; 0.5v/的低失调电压漂移;3v 至22v 的高电源电压范围 3.op073.op07 芯片引脚功能说明:(引脚如图芯片引脚功能说明:(引脚如图 3.43.4 所示,说明如表所示,说明如表 2 2 所示)所示) 图 3.4 op07 芯片引脚图 表 2 op07

41、 引脚说明 op07 引脚说明op07 引脚说明 1 调零端 5 空脚 2 反向输入端 6 输出 3 正向输入端 7 电源 4 接地 8 调零端 4.op074.op07 的接线方式的接线方式 如图 3.5 所示为 op07 的差分减法电路,根据“虚短” , “虚断” ,可得 (1-3-4) )(1 ( 1 3 2 23 3 1 0u r r u rr r r r u i f i f 当 r1= r2 ,r3= rf时, 兰州理工大学毕业设计说明书 12 (1-3-5) )( 12 1 0uu r r u ii f 当 r1= r2 ,r3= rf时, u0=ui2- ui1 (1-3-6)

42、由于信号需放大 5 倍,取 r1=r2=10k,r3=rf=50k,正好满足要求。 图 3.5 op07 的差分减法电路 3.2.43.2.4 tlc0838tlc0838 芯片芯片 ad 转换转换器,根据输出的信号格式,可分为并行 ad 和串行 ad。并行 方式一般在转换后可直接读取数据,但芯片的引脚比较多;串行方式所用芯片引脚少、 封装小,在 pcb 板上占用的空间也小,但需要通过软件处理才能得到所需要的数据, 故本设计采用 tlc0838。 1.tlc08381.tlc0838 的主要特点的主要特点 tlc0838 是美国德州仪器公司生产的 8 位逐次逼近模数转换器。具有输进可配置 的多

43、通道多路器和串形输进输出方式。这些接口在与微控制器连接时可以配置成移位 寄存器,其多路器可由软件配置为单端或差分输进,也可以配置为伪差分输进。另外, 其输进基准电压大小可以调整。存全 8 位分辨率下,它答应任意小的模拟电压编码间 隔。由于 tlc0838 采用的是串行输进结构,因此封装体积小,可节省 51 系列单片机 io 资源,价格也较适中。其种类有贸易级别,工作环境为 0+70,_t 业级别, 工作环境为40+85。更高的可达40+125。在实际运用中我们选用的是 tl0838i 型号,满足了实际需要。 tlc0838 具有 8 位分辨率;易于和微处理器接口或独立使用;可满量程工作;可 用

44、地址逻辑多路器选通 8 输进通道;单 5v 供电,范围为 05v:输进和输出与 ttl、cmos 电平兼容;时钟频率为 250khz 时,其转换时间为 32s;总调整误差为 1lsb。 2.2.工作特点:工作特点: tlc0838 可通过和微控制器相连的串行数据链路来传送控制命令,在使用前需要 兰州理工大学毕业设计说明书 13 对通道进行选择和输进端进行配置,其控制逻辑表如表 3 所列。 表 3 tlc0838 配置信息位的功能 控制位选择的模拟通道(ch0-ch7) com dif/sglodd/evensel1sel001234567 0000v+v- 0001v+v- 0010v+v-

45、0011v+v- 0100v+v- 0101v+v- 0110v+v- 0111v+v- 1000v+v- 1001v+v- 1010v+v- 1011v+v- 1100v+v- 1101v+v- 1110v+v- 1111v+v- 注:v+ 输入电压的正端,v-输入电压的负端。被转换的电压为 v= v+ v-. 3.3.引脚功能描述引脚功能描述 图 3.6 引脚排列 兰州理工大学毕业设计说明书 14 tlc0838 的引脚排列如图 3.6 所示,其中 ch0ch7 为模拟输进端;cs 为片选 端;di 为串行数据输进,该端仅在多路器寻址时(mux settling time)才被检测;do

46、为 ad 转换结果的三态串行输出端;clk 为时钟;sars 为转换状态输出端,该端 为高电平时,表示转换正在进行,为低电平则表示转换完成;ref 为参考电压输进端; vcc 为电源;dgtlgnd 为数字地,angl gnd 为模拟地,com 公共端;se 为数 据保持,输出,该端为高电平时,数据保存在数据线上,该端为低电平时,数据输出。 4.4.与单片机的接口电路设计与单片机的接口电路设计 图 3.7 tlc0838 与 at89c51 单片机的硬件接口电路 tlc0838 与 at89c51 单片机的硬件接口电路的电路原理如图 3.7 所示。图中, 单片机的 p2.0 接 tlc0838

47、 的片选信号,p1.1 用于产生 ad 转换的时钟,p1.0 为一个 双向 io 口,可用于对模拟输进进行配置及输出转换所得的数据。在这里,模拟信号 以单端方式输进,参考电压为 5v,即 ad 模拟量的输进范围为 05v。 3.2.53.2.5 小结小结 将惠斯登电桥、放大器、tlc0838 与单片机连接起来,就组成了本系统的采集模块。 四个被测信号接成两个差动对称的全桥工作形式,测得的信号经计算输出范围是 0-1v, 而 tlc0838 的输入电压范围是 0-5v,故信号需放大 5 倍。整体接口电路如图 3.8 所示: 兰州理工大学毕业设计说明书 15 图 3.8 采集模块整体硬件接口电路

48、3.3 键盘模块 矩阵式键盘的结构及工作原理: 在键盘中按键数量较多时,为了减少 i/o 口的占用,通常将按键排列成矩阵形式, 如图 3.9 所示。在矩阵式键盘中,每条水平线和垂直线在交叉处不直接连通,而是通 过一个按键加以连接。这样,一个端口(如 p1 口)就可以构成 4*4=16 个按键,比之直 接将端口线用于键盘多出了一倍,而且线数越多,区别越明显,比如再多加一条线就 可以构成 20 键的键盘,而直接用端口线则只能多出一键(9 键)。 图 3.9 矩阵式键盘电路图 由此可见,在需要的键数比较多时,采用矩阵法来做键盘是合理的。矩阵式结构 兰州理工大学毕业设计说明书 16 的键盘显然比直接法

49、要复杂一些,识别也要复杂一些,上图中,列线通过电阻接正电 源,行线所接的 8255 pc 口(pc7pc4)作为输出端,列线所接的 8255 pc 口(pc3 pc0)作为输入。这样,当按键没有按下时,所有的输出端都是高电平,代表无键按下。 行线输出是低电平,一旦有键按下,则输入线就会被拉低,这样,通过读入输入线的 状态就可得知是否有键按下了。具体的识别如下所述。 介绍一种确定矩阵式键盘上何键被按下的方法 “行扫描法” 。 行扫描法行扫描法又称为逐行(或列)扫描查询法,是一种最常用的按键识别方法, 如上图所示键盘: 判断键盘中有无键按下:将全部行线 pc7pc4 置低电平,然后检测列线的状态。

50、 只要有一列的电平为低,则表示键盘中有键被按下,而且闭合的键位于低电平线与 4 根行线相交叉的 4 个按键之中。若所有列线均为高电平,则键盘中无键按下。 判断闭合键所在的位置:在确认有键按下后,依次将行线置为低电平,即在置某 根行线为低电平时,其它线为高电平。在确定某根行线位置为低电平后,再逐行检测 各列线的电平状态。若某列为低,则该列线与置为低电平的行线交叉处的按键就是闭 合的按键。 3.4 显示模块 如图 3.10 是一种由 8255a 接口芯片、驱动器和共阴极 led 显示器构成的数字显 示电路。 图 3.10 显示电路 由图可见,先从 pb 口选通要点亮的的数码管代码,然后 cpu 送

51、来的二进制数字代 码从 8255a 的 a 口输出,并经 7407 驱动后与 led 相连。若要显示数字 0,应使 g 段熄 灭,其余段均点亮。为此,可编程使 pa6 输出高电平(经反相后输出低电平),其余位 输出低电平(经反相后输出高电平),即数字 0 的编 码为 01000000b40h,其中 pa7 未 派用处,我们也将它置为 0。同样,可求出 l 的编码为 79h,2 的编码为 24h 等等。将 兰州理工大学毕业设计说明书 17 数字 0f(也可以是 09)所对应的七段代码组成一个表,进行查表,就可求得各数字 对应的七段代码值。把要显示的数字的七段代码从 8255a 输出,就可点亮相应

52、的段, 显示这个数字。依次循环点亮 4 个数码管。 3.5 通讯模块 在电参数仪的设计中,数据采集由单片机 at89c51 负责,上位 pc 机主要负责通信 (包括与单片机之间的串行通信和数据的远程通信) ,以及数据处理等工作。在工作中, 单片机需要定时向上位 pc 机传送大批量的采样数据。通常,主控 pc 机和由单片机构 成的现场数据采集系统相距较远,近则几十米,远则上百米,并且数据传输通道环境 比较恶劣,经常有大容量的电器(如电动机,电焊机等)启动或切断。为了保证下位 机的数据能高速及时、安全地传送至上位 pc 机,单片机和 pc 机之间采用 rs485 协议 的串行通信方式较为合理。 3

53、.5.13.5.1 rs-485rs-485 的优点的优点 我们可以用 rs-232 接口连接两台计算机,但是,当你需要在一个更长的距离上或 者比 rs-232 更快的速度下进行传输的时候,rs-485 就是一个解决的办法。rs-485 与 rs-232 相比有很多优点: 1)成本低:驱动器和接收器价格便宜,并且只需要单一的一个+5v(或者更低)的电 源来产生差动输出需要的最小 1.5v 的压差。与之相对应,rs-232 的最小+5v 与-5v 输 出需要双电源或者一个价格昂贵的接口芯片,这个接口芯片可以生成这些电源。 2)网络能力:rs-485 是一个多引出线接口,这个接口可以有多个驱动器和

54、接受器,而 不是限制为两台设备。利用高阻抗接受器,一个 rs-485 连接可以最多有 256 个接点。 3)长距离连接:一个 rs-485 连接最长可以达到 4000ft,而 rs-232 的典型距离限制为 50 到 100ft。 4)快速:比特率可以高达 10mbps。电缆长度和比特率是有关的,较低的比特率允许 较长的电缆。 5)抗干扰:通常,485 通讯具有较高的抗干扰能力,但是在工业现场环境恶劣的场合, 难免会受到不同 程度的干扰,为此,一般可使用 120 欧线路匹配电阻提高抗干扰能力。 3.5.23.5.2 max485max485 芯片芯片 max485 是用于 rs485 通信的小

55、功率收发器,含有一个驱动器和一个接收器。驱 动器不是限斜率的,允许它们以每秒 2.5mbps 的速度发送数据。收发器的工作电流在 120500ua 之间,只需一个+5v 的电源。驱动器具有短路电流限制和使用热关闭控制 兰州理工大学毕业设计说明书 18 电路进行超功耗保护。在超过功耗时,热关闭电路将驱动器的输出端置于高阻状态。 接收器输入端具有自动防止故障的特性,当输入端开路时,确保输出为高电平。 max485 是为半双工应用而设计的。 max485 有很多特点,如低静态电流、三态输出、半双工工作方式、工作电源为单 一+5v、总线可接 32 个收发器以及限流和热敏控制电路为驱动器提供过载保护等。

56、 1.max4851.max485 芯片引脚及功能芯片引脚及功能 max485 芯片引脚图如图 3.11 所示,引脚说明如表 4 所示 图 3.11 max485 芯片 表 4 max485 引脚说明 max485 引脚 名称 功能 1 ro 接收器输出端。若 a 大于 b200mvro 为高,若相反 ro 为低 2/re 接收器输出使能端。当为低时 ro 有效,为高时 ro 为高阻状态 3 de 驱动器输出使能端。 4 di 驱动器输入端。 5 gnd 地 6 a 同向接收器输入和同向驱动器输出端 7 b 反向接收器输入和反向驱动器输出端 8 vcc 正电源输入端:4.75v5.25v 2.

57、max4852.max485 的接口电路的接口电路 max485 在单片机系统中的应用连接电路如图 3.12 所示: 图 3.12 max485 在单片机系统中的应用连接电路图 兰州理工大学毕业设计说明书 19 3.6 看门狗模块 随着计算机技术、单片机技术、控制网络技术的发展,以智能芯片为核心的单片 机系统集成化和小型化程度的日益提高,使系统具备了完全的自诊断、自检测等性能。 目前,在一些测控系统中,存在电源开断、瞬时电压不稳等不安全因素,将会造成系 统死机、信息丢失、运行不稳定等故障。为解决这些问题,可利用 max813l 芯片, 实现了单片机系统的看门狗电路、电源故障监视电路和手动、自动

58、复位电路,可有效 地解决程序运行中出现的“死机”现象和电源故障带来的不利影响。 3.6.13.6.1 max813lmax813l 芯片芯片 1 1芯片特点芯片特点 max813l 在加电、掉电以及供电电压下降情况下有复位输出;有独立的看门狗输出, 如果看门狗输入在 16 s 内未被触发,其输出将变为高电平;1.25 v 门限值检测器, 用于电源故障报警、电池低电压检测或5 v 以外的电源监控;低电平有效的手动复位 输入。 2 2 max813lmax813l 的引脚及功能的引脚及功能 max813l 芯片引脚排列见图 3.13,引脚功能如表 5 表 5 max813l 引脚功能 引脚名称引脚

59、功能 /mr 当该段输入低电平保持 140ms 以上,max813 就输出复位信号,该输入端的最小输 入脉宽要求可以有效的消除开关的抖动 vcc 工作电源,接+5v 电压 gnd 电源接地端 pfi 当该输入端电压小于 1.25v 时,/pfo 引脚的输出电压由高电平变为低电平 /pfo 电源正常时,输出高电平,当电源电压变低或掉电时,输出由高电平变为低电平 wdi 程序正常运行时,必须在 1.6s 的时间间隔内向该输入端发出一个脉冲信号,以清 除芯片内部的看门狗定时器。若超过 1.6s 该输入端收不到脉冲信号,则内部定时 器溢出,wdo 由高电平变为低电平 兰州理工大学毕业设计说明书 20

60、/reset 上电时,自动产生 200ms 的复位脉冲;手动复位端输入低电平时,该端也产生复 位信号输出 /wdo 正常工作时,输出保持高电平,看门狗输出时,该端输出信号由高电平变为低电 平 3 3 max813max813 的接口电路的接口电路 (1)基本工作原理 工业环境中的干扰大多是以窄脉冲的形式出现,而最终造成微机系统故障的多数现 象为“死机” 。究其原因是 cpu 在执行某条指令时,受干扰的冲击,使它的操作码或地 址码发生改变,致使该条指令出错。这时,cpu 执行随机拼写的指令,甚至将操作数作 为操作码执行,导致程序“跑飞”或进入“死循环” 。为使这种 “跑飞”或进入“死 循环”的程

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论