版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、太原理工大学EDA技术及应用课程设计 设计题目:交通灯控制器的设计学生姓名 凌天 学 号 专业班级 电信0801班 同 组 人 课设题目:交通灯控制器1、 设计要求设计一个由一条主干道和一条支干道的十字路口的交通灯控制器,具体要求如下:1、 主、支干道各设有一个绿、黄、红指示灯,2个显示数码管。2、 主干道处于常允许通行状态,而支干道有车来才允许通行。当主干道允许通行亮绿灯时,支干道亮红灯。当支干道允许通行亮绿灯时,主干道亮红灯。3、 当主、支干道均有车时,两者交替允许通行,主干道每次放行45s,支干道每次放行25s,由亮绿灯变成亮红灯转换时,先亮5s的黄灯作为过渡,并进行减计时显示。2、 设
2、计方案原理图各部分功能叙述1、 交通灯主控制器模块JTDKZ 接收时钟和主、支干道的输入信号,控制主干道和支干道的信号灯的输出。2、 45S计时译码模块CNT45S 完成主干道45s的计时功能。3、 5S计时译码模块CNT5S 完成主、支干道红绿灯转换时,黄灯显示的5s倒计时功能。4、 25S计时译码模块CNT25S 完成支干道25s的计时功能。5、 显示控制模块XSKZ 控制两个数码显示管的输出,使其能显示45s、25s的计时和5s的倒计时功能。6、 显示译码器YMQ 译码。7、 例化程序 使各个部分的功能合为一体,完成整个交通控制器的功能。3、 执行过程1、将各部分VHDL参考程序输入软件
3、,编译使其各个通过1、 交通灯主控制器模块JTDKZ:2、45S计时译码模块CNT45S:3、5S计时译码模块CNT5S:4、25S计时译码模块CNT25S:5、 显示控制模块XSKZ:6、 显示译码器YMQ:7、顶层原件例化程序及其编译library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity jiaotd isport( sm: in std_logic; clk: in std_logic; sb: in std_logic; mr
4、,br: out std_logic; my,mg,by,bg: out std_logic; dout1,dout2: out std_logic_vector( 6 downto 0) );end;architecture arc of jiaotd iscomponent jtdkz is port(clk,sm,sb:in std_logic; mr,my,mg,br,by,bg:out std_logic);end component;component CNT45S is port(SB,CLK,EN45:IN STD_LOGIC; DOUT45M,DOUT45B:OUT STD_
5、LOGIC_VECTOR(7 DOWNTO 0) );end component;component CNT05S IS PORT(CLK,EN05M,EN05B:IN STD_LOGIC; DOUT5:OUT STD_LOGIC_VECTOR(7 DOWNTO 0) );end component;component CNT25S IS PORT(SB,SM,CLK,EN25:IN STD_LOGIC; DOUT25M,DOUT25B:OUT STD_LOGIC_VECTOR(7 DOWNTO 0) );end component;component XSKZ IS PORT(EN45,EN
6、25,EN05M,EN05B:IN STD_LOGIC; AIN45M,AIN45B:IN STD_LOGIC_VECTOR(7 DOWNTO 0); AIN25M,AIN25B,AIN05:IN STD_LOGIC_VECTOR(7 DOWNTO 0); DOUTM:OUT STD_LOGIC_VECTOR(7 DOWNTO 0); DOUTB:OUT STD_LOGIC_VECTOR(7 DOWNTO 0) );end component;component YMQ IS PORT(AIN4:IN STD_LOGIC_VECTOR(3 DOWNTO 0); DOUT7:OUT STD_LO
7、GIC_VECTOR(6 DOWNTO 0) );end component;signal dout4: std_logic_vector( 6 downto 0);signal my1,mg1,by1,bg1,en45,en25,en05m,en05b: std_logic;signal mr1,br1: std_logic;signal dout45m,dout45b,dout25m,dout25b,dout5,ain45m,ain45b,ain25b,ain25m,ain05m,doutm,doutb:STD_LOGIC_VECTOR(7 DOWNTO 0);signal dout3:
8、std_logic_vector( 6 downto 0);begin mg=not mg1; my=not my1; bg=not bg1; by=not by1; mr=not mr1; brclk,sm=sm,sb=sb,mr=mr1,my=my1,mg=mg1,br=br1,by=by1,bg=bg1);u2: cnt45s port map ( clk=clk,sb=sb,en45=mg1,dout45m=dout45m,dout45b=dout45b);u3: cnt05s port map ( clk=clk,en05m=my1,en05b=by1,dout5=dout5);u4
9、: cnt25s port map ( clk=clk,sb=sb,sm=sm,en25=bg1,dout25m=dout25m,dout25b=dout25b);u5: xskz port map ( en45=mg1,en25=bg1,en05m=my1,en05b=by1,ain45m=dout45m, ain45b=dout45b,ain25m=dout25m,ain25b=dout25b,ain05=dout5);u6: ymq port map ( ain4=doutm(3 downto 0),dout7=dout1);U7: ymq port map ( ain4=doutm(7
10、 downto 4),dout7=dout2);U8: ymq port map ( ain4=doutb(3 downto 0),dout7=dout3);U9: ymq port map ( ain4=doutb(7 downto 4),dout7=dout4);end arc;顶层程序编译2、将所有程序进行器件适配,成功后设定管脚3、下载程序4、 课程设计总结通过这次的数字电路硬件课程设计,我学会了如何利用软件将通用的可编程硬件变成自己想要的功能的硬件。也对用VHDL语言进行编程有了直观的感受,尤其是关于原件例化的程序,通过不断的修改和编译,终于使其实现了它的功能。由于这次设计之前并没有一个很好的思路
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 2024年度物流服务合同标的为货物运输与仓储
- 2024年度企业研发合作与技术转让合同
- 调压阀市场需求与消费特点分析
- 玻璃盒市场需求与消费特点分析
- 搓衣板市场发展现状调查及供需格局分析预测报告
- 2024年度新能源技术研发与推广合同
- 2024年度云计算资源租赁与服务合同
- 2024年度东莞市房产买卖合同
- 2024年度企业咨询服务合同标的及服务内容
- 2024年度安徽省统计局统计专业技术人员聘用合同
- 面部血管瘤的护理查房
- 第-九-章-泄水建筑物下游的水流衔接与消能
- 学习任务群视域下小学语文大单元教学的实施
- 桥梁养护风险辨识手册
- 新型脚手架材料研究
- 声纳原理课件
- 药物警戒质量管理规范试题
- 幼儿园中班数学活动《喂猫咪》
- 工程量自动计算结果表格(新增文字注释上标功能)
- 新课标视域下的小学数学大单元教学
- 幼儿园保教工作管理
评论
0/150
提交评论