基于VHDL语言的2ASK调制系统的设计_第1页
基于VHDL语言的2ASK调制系统的设计_第2页
基于VHDL语言的2ASK调制系统的设计_第3页
基于VHDL语言的2ASK调制系统的设计_第4页
基于VHDL语言的2ASK调制系统的设计_第5页
已阅读5页,还剩36页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、通信原理课程设计说明书 基于VHDL语言的2ASK调制系统 学 院 : 电气与信息工程学院 学生姓名: 代雪晶 指导教师: 刘海波 职称 副教授 专 业: 通信工程 班 级: 通信1302班 完成时间: 2016年6月 摘 要 现代通信系统的发展随着VHDL等设计语言的出现进入了一个新的阶段,普通的传输系统设计方法已经不能满足使用需求。由于大多数信号都是带通型的,所以必须先用数字基带信号对载波进行调节,形成数字调制信号再进行传输。因而,调制技术是实现现代通信的重要手段。2ASK信号是数字调制方式中最早出现的,也是最简单的,但其抗噪声性能较差,因此实际应用并不广泛,但经常作为研究其它数字调制方式

2、的基础。 设计在研究2ASK传输系统的基础上,基于VHDL语言设计了它的调制解调仿真实现方案。首先确定了2ASK传输系统的仿真方案。其次编写了方案所需的程序,包括基带信号的产生,调制及解调实现功能。最后,对整个系统进行仿真,对结果中的错误进行分析并改进方案。 关键词:2ASK;调制;解调;VHDL语言目 录1 绪论11.1 课题的研究背景11.2 课题研究的目的和意义21.3 论文的内容安排22 方案的设计32.1 方案设计的原理3 2.1.1 2ASK调制原理3 2.1.2 2ASK解调原理 52.2 整体方案设计72.3 本章小结73 2ASK调制系统的软件仿真83.1 2ASK调制系统仿

3、真建模83.2 调制程序83.3 2ASK调制系统仿真93.4 仿真结果调试及分析103.5 本章小结114 2ASK解调系统软件仿真124.1 2ASK解调系统仿真建模124.2 解调程序124.3 2ASK解调系统仿真134.4 仿真结果调试及分析144.5 本章小结15 5 2ASK调制与解调系统综合仿真165.1 基带信号的输入16 5.1.1 m序列简介16 5.1.2 m序列的实现175.2 综合仿真185.3 仿真结果调试及分析195.4 本章小结22结束语23参考文献24致 谢25附录 程序清单261 绪论1.1 课题的研究背景现代通信系统是时代生命线,现代通信已经不再是单一的

4、电话网或电报文字通信网,而是一个综合的为多种信息服务的通信网。为适应世界性的政治与经济活动的需要,人类已经迅速建立起世界性的全球通信网。通信网已经成为支撑现代经济的最重要的基础结构之一。改革开放以来,我国的通信建设有了迅速的发展,但与一些发达国家相比还是比较落后。随着时代的发展,用户不再满足于听到声音,而且还要看到图像,通信终端也不局限于单一的电话机,而且还有传真机和计算机等数据终端。现有的传输媒介电缆,微波中继和卫星通信等将更多地采用数字传输。传递信息所需的一切技术设备的总和称为通信系统。图1为通信系统的一般模型。 图1 通信系统的一般模型图1中,信息源可以通过输出信号的性质不同分为模拟信源

5、和数字信源,由于信息源产生信息的种类和速率不同,因而对传输系统的要求也各不同。发送设备的基本功能是将信源和传输媒介匹配起来,即将信源产生的信息信号变换为便于传输的信号形式,送往传输媒介,调制是最常见的变换方式。发送设备还包括为达到某种特殊要求所进行的各种处理,如多路复用、保密处理、纠错编码处理等。传输媒介是从发送设备到接收设备之间信号传递所经过的媒介,它可以是无线的,也可以是有线的。在实际的传输过程中必然会引入干扰,如热噪声、衰落、脉冲干扰等。接收设备的基本功能是完成发送设备的反变换,即进行解调、译码、解密等。它的任务是从带有干扰的信号中正确恢复出原始信息来。通信系统的发展日新月异。20世纪末

6、,EDA(ElectronicDesignAutomation电子设计自动化)技术获得了飞速的发展。它的核心已日趋转向基于计算机的电子设计自动化技术。采用EDA技术来设计并测试通信系统,能有效地缩小了系统的体积,降低了成本,增加了可靠性。EDA技术中的VHDL语言使得设计具有良好的可移植性及产品升级的系统性。1.2 课题研究的目的和意义课题是基于VHDL语言设计一个2ASK传输系统。通过课题理解掌握课题涉及的相关内容,熟练使用相关开发工具软件,掌握基本的有线传输系统的构成,熟悉数字信号载波传输的基本方法,掌握基本的硬件电路调试方法。通过课题,可以了解到模拟化和数字化的区别。 模拟调制就是用模拟

7、信号调制载波,数字调制就是用数字信号调制载波。采用数字化的好处很多,最明显的是抗干扰性能得到加强,容易加密等。数字信号传输和模拟信号传输是不一样的,模拟信号一般通过高频调制以后就可以通过线路进行传输,接收端对输入信号进行解调后,就可以输出模拟信号;而数字信号传输就不同了,数字信号不但需要调制,调制之前还要进行编码,接收端对输入信号首先进行解调,然后再解码。1.3 论文的内容安排 论文的第1章介绍了课题研究的背景,目的及意义。第2章介绍了方案的设计,2ASK调制及解调原理。第3章,第4章讲述了2ASK的调制和解调的软件仿真。第5章介绍2ASK调制系统的综合仿真。2 方案的设计2.1 方案设计的原

8、理2.1.1 2ASK调制的原理 幅度键控2ASK(Amplitude-shift keying)技术是一种数字调制技术,它是连续波调制。2ASK指的是振幅键控方式。其调制方式是根据信号的不同,调节正弦波的幅度。2ASK技术主要是用在数据传输方面,它的优点是有效性好,随着输入信噪比的增加,系统的误码率将更迅速地按指数规律下降。幅度键控的调制可以通过乘法器和开关电路来实现。载波在数字信号1或0的控制下通或断,在信号为1的状态载波接通,此时传输信道上有载波出现;在信号为0的状态下,载波被关断,此时传输信道上无载波传送。那么在接收端就可以根据载波的有无还原出数字信号的1和0。对于二进制幅度键控信号的

9、频带宽度为二进制基带信号宽度的两倍。幅移键控法(ASK)的载波幅度是随着调制信号而变化的,其最简单的形式是,载波在二进制调制信号控制下通断, 此时又可称作开关键控法(OOK)。 在振幅键控中载波幅度是随着基带信号的变化而变化的。使载波在二进制基带信号1或0的控制下通或断,即用载波幅度的有或无来代表信号中的“1”或“0”,这样就可以得到2ASK信号,二进制振幅键控方式称为通断键控(OOK)。2ASK信号典型的时域波形如图2所示。图2 2ASK信号的典型时域波形 2ASK信号的时域数学表达式为: (1)式中,A 为未调载波幅度, 为载波角频率,为符合下列关系的二进制序列的第n 个码元: =0 出现

10、概率为P (2) =1 出现概率为1-P (3) 由式(1),式(2),式(3)可知,令A1,则2ASK 信号的一般时域表达式为: (4) 式中,Ts为码元间隔,g(t)为持续时间 /2,/2 内任意波形形状的脉冲(分析时一般设为归一化矩形脉冲),而S(t) 就是代表二进制信息的随机单极性脉冲序列。为了更深入掌握2ASK 信号的性质,除时域分析外,还应进行频域分析。由于二进制序列一般为随机序列,其频域分析的对象应为信号功率谱密度。设g(t) 为归一化矩形脉冲,若g(t) 的傅氏变换为G(f) ,S(t) 则为二进制随机单极性矩形脉冲序列,且任意码元为0 的概率为P,则S(t) 的功率谱密度表达

11、式为: (5)式中,与二进制序列的码元速率Rs在数值上相等。可以看出,单极性矩形脉冲随机序列含有直流分量。2ASK信号的双边功率谱密度表达式为: (6) 由式(6)可知,2ASK 信号的功率谱密度由两个部分组成:(1)由g(t) 经线性幅度调制所形成的双边带连续谱;(2)由被调载波分量确定的载频离散谱。对信号进行频域分析的主要目的之一就是确定信号的带宽。最常用和最简单的带宽定义是以功率谱主瓣宽度为度量的“谱零点带宽”,这种带宽定义特别适用于功率谱主瓣包含信号大部分功率的信号显然,2ASK 信号的谱零点带宽为: (7) 式中,Rs 为二进制序列的码元速率。 2ASK 信号的产生方法比较简单。首先

12、,因2ASK 信号的特征是对载波的“通断键控”,用一个模拟开关作为调制载波的输出通/断控制门,由二进制序列S(t) 控制门的通断,S(t) 1 时开关导通;S(t)0 时开关截止,这种调制方式称为通断键控法。其次,2ASK 信号可视为S(t)与载波的乘积,故用模拟乘法器实现2ASK 调制也是很容易想到的另一种方式,称其为乘积法。在这里,采用的是通断键控法,2ASK 调制的基带信号和载波信号分别从“2ASK 基带输入”和“2ASK 载波输入”输入。2.1.2 2ASK解调的原理 2ASK的调制有两种实现方法:乘法器实现法和键控法。 乘法器实现法如图3所示。乘法器常采用环行调制器,S(t)是调制信

13、号,是载波信号。两个信号经过乘法器频谱搬移后,输出信号经过带通滤波器滤除高频谐波和低频干扰,完成调制。图3 乘法器实现法键控法如图4所示。二进制ASK又称为通断控制(OOK),是用一个电开关来控制载波振荡器的输出,这里的开关电路受控制。当发0码时,控制开关关闭;当发1码时,控制开关打开,载波传输。这样就很简单的实现了ASK的调制。 开关载波SASK(t)S(t)图4 键控法2ASK 解调有非相干解调(包络检波法)和相干解调(同步检测法)两种方法,它们的共同原理图如图5所示。图5 2ASK解调原理框图包络检波法如图6所示。包络检波法是一种非相干解调,信号经过带通滤波器抑制来自信道的带外干扰,然后

14、进行解调和抽样判决。采用包络检波法的接收系统通常工作在大噪比的情况下,最佳门限值为接收信号包络值的一半,也就是说对于2ASK的包络检波法来说,最佳门限值和接收信号的包络值有关,这个特性使得2ASK不适用于变参信道。图6 包络检波器同步检测法如图7所示。同步检测法也称相干解调,信号经过带通滤波器抑制来自信道的带外干扰,相乘器进行频谱反向搬移,以恢复基带信号。低通滤波器用来抑制相乘器产生的高次谐波干扰,通常判决电平取A/2。图7 同步检测法 由于信号只有1和0两种状态,因此只需要在每个信号间隔内做出一次判决即可,由采样判决电路完成。在相同大信噪比情况下,2ASK信号相干解调时的误码率总是低于包络检

15、波时的误码率,即相干解调2ASK系统的抗噪声性能优于非相干解调系统,但两者相差并不太大。然而,包络检波解调不需要稳定的本地相干载波,故在电路上要比相干解调简单的多。虽然2ASK信号中确实存在着载波分量,原则上可以通过窄带滤波器或锁相环来提取同步载波,但这会给接收设备增加复杂性。因此,实际中很少采用相干解调法来解调2ASK信号。但是,包络检波法存在门限效应,相干检测法无门限效应。所以,一般而言,对2ASK系统,大信噪比条件下使用包络检测,即非相干解调,而小信噪比条件下使用相干解调。2.2 整体方案设计图8 整体方案框图方案的设计思路是建立在Quartus 软件上的。首先,要有一个信源,这个信源就

16、用伪随机序列发生器。基带信号和载波信号在控制器的控制下进入调制器。调制出来的信号经过传输,进入解调器,被恢复成基带信号。整体方框图如图8所示。在VHDL中采用模拟键控,产生ASK波型,示意波型如图9所示。 0 1 0 0 1 1 0 图9 2ASK波形2.3 本章小结 本章介绍了调制解调技术和整体设计方案。调制解调技术在通信系统中已经是一项比较成熟的技术了,在此理论基础上,设计了2ASK载波传输系统实现模型:首先必须有基带信号和载波,然后将它们进行调制并传输到接受器(解调器)中进行解调,得到基带信号,这样就完成了一个简单的传输系统设计。3 2ASK调制系统的软件仿真3.1 2ASK调制系统仿真

17、建模基于VHDL语言的2ASK调制建模方框图如图10所示,功能的实现是在Quartus II软件上完成的。图10 2ASK调制系统建模方框图3.2 调制程序2ASK调制的VHDL程序主要的思想是通过输入信号和载波相与(AND)来完成调制的。由于这部分是软件仿真,发送的是二元数字信号,所以不用通过带通滤波器。但是如果在实际传输过程中,不管是模拟信号还是数字信号,为了滤除其余频率,在调制前要接一个带通滤波器。2ASK调制的VHDL程序(见附录)主要的思想是通过输入信号和载波相与(AND)来完成调制。整个调制部分的主要程序为:if clkevent and clk=1 then if start=0

18、 then q=0; elsif q=1 then f=1;q=q+1; elsif q=3 then f=0;q=0; else f=0;q=q+1;第一行程序是说在系统时钟的上升沿进行调制。第二行程序则说当系统处于等待状态时,q也为0。第三行就是说当start为1的时候,将1值赋给q并且载波f处于高电平,然后进行一个q值加1的循环。第四行程序意思是当q等于3的时候,载波f就为低电平,然后将0值赋给q。最后一行则说当为低电平时,进行q值加1的循环。由于第四行程序中f=0;q=0,所以可以知道此时q等于1。整个程序的作用就是完成q等于1和2时f为高电平,q等于3和0时f为低电平。控制载波的频率

19、对于一个调制系统是很重要的。知道了载波的频率才能在解调时正确的将信号恢复,而且载波的频率也会影响到传输和误码率等问题,所以载波频率的选择要恰当。这里就是把系统时钟进行4分频,即f的周期为40ns。这部分主要程序可以通过改变第四行q(即q=1)后面数字的大小,改变载波信号的占空比。也可以通过改变q(即q=3和q=0)后面数字的大小,就可以改变载波信号的频率。3.3 2ASK调制系统仿真 在程序编写好了后,生成模块。调制信号的模块如图11所示。 图11 调制模块模块连线图如图12所示,在模块的三个输入端分别接入系统时钟信号clk,允许调制信号start,调制信号x和输出信号y。图12 模块连线图

20、由于VHDL的系统工作频率是有范围的,所以系统时钟频率的设定不能太大,否则系统运行不了那么快的速度,就会造成没有结果或者结果出错。这里最好系统时钟周期设成10ns,以便于观察和输出正确的结果。在start高电平时进行调制,f是载波,q是分频器,f就是q分系统时钟的频率得到的。为了调制过程简单,节省时间,在单独的调制部分不接入m序列。 进行全编译后2ASK程序调制仿真图如图13所示。图13 2ASK调制仿真全图3.4 仿真结果调试x和y在280ns附近的二进制码元宽度(后面提到的码元宽度都是二进制的)有点不一样,这是本身设定要注意到的问题:1码和0码的码元宽度要保持长度一致。如图14所示,图中的

21、1码和0码的码元长度就是一致的,都是载波f的6个周期。它发送的是101码,但是可以看出它每个1码有7个高点平。虽然时间上是载波的6个周期,但是这样就会在解调部分造成误码。所以,在发送码元时,一定要选择在适当的时间传送,即信号输入的时间最好在start由低电平变为高电平时刻的附近,尽量的减少误码的出现。如图15所示,就是选择了正确的时间传送信号。每个码元长度都是载波信号的6个周期。对于发送同样的101码,图15就比图14要好的多,在观察时很直观,实际应用中也会减少误码率。图15所示的载波f是系统时钟的4分频,也就是40ns。 所以,在调制系统中,要选择正确的传输开始时间,选择合适的载波频率,注意

22、码元的长度一致,否则将很难传输一个正确的信号。图14 信号输入时间不恰当时2ASK调制仿真全图图15 载波为系统时钟的4分频时的2ASK调制仿真全图3.5 本章小结本章的主要内容是2ASK调制系统软件仿真的实现。用VHDL语言编写一个具有分频器和相乘器的功能模块并进行传输系统的仿真以及结果调试。结果很直观的看出2ASK调制系统从输入基带信号到输出已调信号的实现过程。4 2ASK解调系统软件仿真4.1 解调系统仿真建模2ASK解调建模方框图如图16所示。图16 2ASK解调建模方框图4.2 解调程序2ASK解调VHDL程序(见附录)的主要思想是通过一个模块内部的记数来判决解调信号的电平。当然,这

23、个记数值的上限是可以通过程序改变的,这也就是说码元宽度是可以随着调制出来的信号而改变,以符合调制信号的各项参数,从而减少误码的出现。程序的主要部分是:if clkevent and clk=1 then xx=x; if start=0 then q=0; elsif q=11 then q=0; else q=q+1;第一行程序的作用是在系统时钟clk上升沿时,把解调信号x赋给中间信号xx。第二行和第三行程序则说当开始信号start等于0时或q值为11时,将q值清零。第四行程序是一个q值加1的循环。这一部分程序具有对系统时钟记数的功能。其中先设定是在系统时钟上升沿工作,然后用if语句完成q的

24、循环计数,当q等于11时循环又从0开始记数。这里面,可以通过改变第三行程序的q=11的值来改变码元宽度。具体的原理在下个程序说明后介绍。完成2ASK解调的程序主要是:if q=11 then m=0; elsif q=10 then if m=3 then y=0; else y=1; end if;elsif xxevent and xx=1then m=m+1; 第一行程序是说当q等于0时将m计数器清零。第二行、第三行和第四行程序则是通过对m大小,来判决y输出的电平。这里当q等于10的时候,如果m的值小于等于3,调制出来的信号是低电平,否则就是高电平。最后一行程序作用是用计数器m计xx信号

25、的脉冲个数。程序通过对m的数值判断大小来解调信号。码元宽度的设置就和m的设置密切相关。这里的码元宽度是载波的3个周期,如果改动第三行程序中m的值,就可以改变码元宽度,具体的设置值要和调制部分保持一致。4.3 2ASK解调系统仿真解调模块如图17所示。图17 解调模块图 模块连线图如图18, 在模块的三个输入端分别接入系统时钟信号clk,允许解调信号start,解调信号x和输出信号y。图18 模块连线图 进行全编译后2ASK程序解调仿真图如图19,图20,图21所示。图19 2ASK解调仿真全图 由图19可以看出,由于解调前的信号x的码元宽度是6个载波周期,而这里一个载波周期是系统时钟周期的两倍

26、,也就是说码元周期是12个系统时钟周期。从图19可以明显看出x信号携带的数据是1011011解调出来后也是1011011,即信号y,没有误码。4.4 仿真结果调试如图20所示,x的信号值延时一个系统时钟赋给xx。当x信号出现第一个高电平时,内部计数器m开始记数,在q等于10的时候通过判断m的数值来判决输出信号y是高电平还是低电平。.在q=11时,m清零。在q为其它时,m计xx(x信号的寄存器)的脉冲数。由于在q等于10前没有进行判决,所以之前的y信号都没有输出,这就造成了输出信号y延时输入信号x有9个系统时钟。当然,输入信号x的时刻与允许调制信号start不协调程度不一样的话,造成的延时也不一

27、样,甚至可以造成较严重中的错误。而这里面最要注意到的问题就是前面反复说的码元长度。如果码元长度给定(这里的码元长度等于12个系统时钟周期),那么就需要注意start信号和x信号的同步情况,但是如果码元长度除了问题,最后就结果就会出现较大错误。如图21所示,x信号的第一个码元的长度不够,m在记数时记到3就清零了,符合y输出低电平的条件:if m=3 then y=0。而本来传输的是信号1,y的输出应该是高电平。再看y信号的第一个高电平和相对的x信号的长度不一样。y信号是两个连1,即11,x信号应该是12个载波周期,即24个系统时钟周期,而这里只有9个载波周期,这就让人无法得知传输的信息到底是11

28、还是10。所以这个结果就出现了错误,也就是误码。图20 start信号和x信号不同时的2ASK解调仿真图图21 x信号的码元长度不够时2ASK解调仿真图4.5 本章小结本章介绍的是2ASK解调系统软件仿真的实现。用VHDL语言编写一个具有判决器的功能模块并进行传输系统的仿真以及结果调试。通过结果了解2ASK解调系统从信号传输进来到解调输出的全过程。5 2ASK调制与解调系统综合仿真5.1 基带信号的输入由于m序列具有随机性和规律性,好的自相关和互相关性,且很容易产生。基带信号用m序列发生器产生。5.1.1 m序列简介 m序列是最大长度线性移位寄存器序列的简称。伪随机信号在雷达、遥控、遥测、通信

29、加密和无线电测量系统领域有着广泛的应用。利用VHDL语言进行软件编程,通过EDA设计软件对程序编译、优化、综合、仿真、适配,最后将生成的网表文件配置于制定的目标芯片中,可以实现不同序列长度的伪随机信号发生器。首先用在扩频通信系统中,3 G及3 G移动通信技术的特征之一是码分多址即CDMA,码是CDMA码分的基础。这里的码就是伪随机码,简称PN码。这是因为伪随机序列(Pseudonoise Sequenec)具有类似于随机信号的一些统计特性,但又是有规律的,容易产生和复制。也正是源于系统中一般都采用伪随机序列,在扩频通信系统中也把扩频序列叫作伪随机序列(即PN码)。PN码的选择作为3 G移动通信

30、的关键技术之一直接影响CDMA系统的质量、抗干扰能力等。目前IS95标准中使用的PN序列就是m序列,同时m序列还是构成其他序列码的基础,如在WCDMA中采用的GOLD码就是由2个m序列相加而成的。此外m序列又有较好的密码学性质,用在密码学和保密通信中,即用来产生序列密码。m序列是最长线性移位寄存器序列,它是由带线性反馈的移位寄存器产生的周期最长的一种序列。一般来说,一个n级的反馈移存器可能产生的最长周期为:2n-1-1 ,则8位的移位寄存器能产生的最长周期为127。能产生周期最长的线性移位寄存器的结构由其本原多项式决定,本原多项式应满足:(1)是既约多项式,即不能再进行因式分解,(2)可除尽,

31、(3)整除不尽 。m序列一个周期N=2n-1内“1”和“0”的码元数大致相等,“0”出现2n-1-1 次,“1”出现2n-1次 (“1”比“0”只多一个) 。m序列中连续的为“1”或“0”,称为游程,且其个数称为游程长度。一个周期P=2n-1内,共有2n-1个游程,其中长度为1(单“1”,或单“0”,)的游程占总游程的1/2,长度为2(“11”或“00”)的游程占总游程的1/4,长度为3(“111”或“000”)的游程占总游程的1/8,长度为k的游程占总游程的1/2k,只有一个包含(n一l)个“0”的游程,也只有一个包含n个“1”的游程。 m序列有较好的自相关性和互相关性。m序列和其移位后的序

32、列逐位模2加,所得的序列还是m序列,只是相位不同。m序列发生器中的对于2个不同相位的m序列,当周期P很大并且模P0时,那么2个序列几乎是正交的。5.1.2 m序列的实现在实际工程应用中,m序列即可用硬件产生,也可用软件产生。随着EDA技术的应用,可用VHDL编程来实现。 移位寄存器由时钟控制若干个串接的存储器所组成。在时钟信号的控制下,寄存器的存储信号由上一级向下一级传递。如果让某些寄存器的输出反馈回来进行运算,运算结果作为第一级寄存器的输入,则可以得到一个移位寄存器序列。如果移位寄存器的反馈函数和初始状态不同,那么可得到不同的移位寄存器序列。线性移位寄存器总共有1,2,3, ,N个寄存器,他

33、们的状态为Xi(i=1,2,3,n),经Ci(I=1,2,3,n)相乘后模2加,再反馈。这里Ci(0,1),且乘法规则是00=01=10=0,11=1。实际上Ci=0表示断开,Ci=1表示连接。因此这个N阶移位寄存器的反馈函数为: (8) (9)特征多项式中的Xi(i=0,1,2.n)与移位寄存器的第 i个触发器相对应。选m序列的级数为n=7,序列长度为127。如图22所示。图22 n=7的反馈移位寄存器的结构模型 根据图22的结构模型,设置敏感信号。在始终的上升沿控制下,当load=1时,给移位寄存器预置初始信号100000。m序列的程序见附录,生成的m序列模块如图23所示。图23 m序列模

34、块 模块连线图如图24所示,在模块的两个输入端分别接入系统时钟信号clk、序列产生控制信号load和输出信号q。全编译通过后仿真图如图25所示。图24 模块连线图图25 m序列仿真结果图 图中的load在“1”电平期间对伪随机序列发生器置初始信号,早“0”电平期间伪随机序列发生器进行移位操作。clk表示移位寄存器的位同步信号,q表示串行伪随机序列信号的产生。5.2 综合仿真 将调制解调的模块综合连接起来如图26所示,在模块的四个输入端分别接入调制模块的系统时钟信号clk,解调模块的系统时钟clk1,控制信号start,调制信号x和输出信号y。图26 调制解调综合模块图 全编译后仿真图如图27,

35、图28所示。图27 2ASK调制解调仿真图图28 2ASK调制解调仿真局部放大图 由于调制模块是将系统时钟4分频,解调模块是将系统时钟2分频。所以这两个模块的系统时钟不能一样,必须符合条件clk1的周期等于clk周期的两倍,这样他们的xx的信号频率才和载波频率一样,才能正确的解调出调制信号。如果将两个模块的系统时钟设成一样或者不是两倍的关系,结果会出现输出y一直是低电平或其他的错误情况。5.3 仿真结果调试 一定要在控制信号一出现高电平就送入调制信号,即m的记数值0结束时,应该对应到解调部分的信号q的1或2记数值的结束。因为一旦控制信号start为高电平,信号q就开始记数功能。而m则要等到有解

36、调信号输入时才记数。q的记数频率是m的两倍,而q有12个取值(0到11),m有6个取值(0到5),所以应该使q的两个值的记数时间等于m的一个值的记数时间,也才符合码元长度为6个调制部分的载波周期。信号q和信号m没有对齐会造成m的记数值错误而出现误码,如图29,图30所示。图29 调制解调仿真结果图图30 调制解调仿真结果局部放大图 图29所示是传输一个1011码,但是最后解调出来是0001码。出现这个结果的原因就是上述所说的m和q的记数不同步。图30是图29的部分放大图,由图30所示可以看出m在295ns时开始对xx信号记数。在360ns时,m应该记xx信号的第四个高电平,即m应为4。但是由于

37、信号q在此时已经记完了11个数并清零了,就使得m信号还没有记完6个载波周期就也被清零了。这样本来x信号是传输1码,被解调出来就是0码了。而后面一个码由于q重新记数所以m和q同步没有解调错误。所以在解调时要特别注意m信号和q信号的同步开始问题。 将m序列发生器ps,2ASK调制模块PLASK和解调模块PLASK2连接起来,同时每个模块接一个输出以便观察并验证结果。这里需要注意的是三个模块不能接同一个系统时钟,否则在调试的时候是很困难的,模块图如图31所示。全编译后仿真如图32所示。仿真放大图如图33所示。 图31 调制解调综合模块图 图32 2ASK调制解调综合仿真结果图 图33 调制解调综合仿

38、真局部放大图 clk和clk1继续遵循clk1的频率是clk的两倍的原则。m序列发生器的系统时钟clk2要尽量大一点。clk的周期为1.5ns,clk1的周期为3ns,clk2的周期为36ns。载波信号经过调制模块对clk信号的4分频后周期为6 ns,等于clk1信号经过解调模块2分频后的周期6 ns。又因为规定的码元宽度为载波信号的6个周期,即36 ns,和m序列发生器的系统时钟信号clk2的周期相等,再加上m序列发生器的一个系统时钟就产生一个码元。那么可知调制信号的码元宽度是载波的6个周期,符合一开始的要求。如图32所示,m序列产生的是1001111001码,输出信号y也是10011110

39、01码,由此可得结果正确。5.4 本章小结 本章介绍的是在设计中相当重要的一部分调制和解调功能的整体实现。在第3章和第4章的方案设计基础上,设计了伪随机序列作为基带信号,同时将调制和解调模块进行改进并综合。完成2ASK传输系统从发送信号到输出信号的全过程。通过结果验证了仿真分析的正确性,误码率低。结束语 数字基带信号是编码后产生的二进制随机矩形信号,且往往具有支流和丰富的低频分量,所以分析它的频谱应该采用功率频谱,这一点是和模拟调制与解调时不一样的。数字调制系统的优点是在于抗干扰和噪声的能力强,可以同时传输各种不同速率或带宽的信号(如声音、图像和数据信号等等),并且易于采用加密的方式传送信息。

40、但是由于数字基带信号的频谱较宽,因此如何充分有效地利用有限的频带是数字调制中重要的研究课题,这也是许多中调制方式产生的原因,包括这里设计的2ASK调制。在2ASK数字传输系统中,VHDL的作用相当重要,尤其是在对基带信号的处理和整个系统的控制中VHDL不但能大大缩减电路的体积,提高电路的稳定性,而且先进的开发工具使整个2ASK传输系统的设计调试周期大大缩短。由于VHDL器件实现的各功能块可以同时工作,从而实现指令级、比特级、流水线级甚至是任务级的并行执行,从而大大地加快了处理速度。VHDL的时钟延迟可以达到纳秒级,对这个要求误码率低的调制解调系统十分有利。 设计说明2ASK数字传输系统中,调制

41、解调简单,但频谱特性不好,比较宽,带宽利用低率低。加大码元宽度,可增加码元能量,有利于提高通信系统的可靠性。在二进制数字系统,随着传输码率的提高,所需信道带宽增加,采用多进制可降低码元速率和减小信道带宽。参考文献1 樊昌信,曹丽娜.通信原理(第七版)M.北京:国防工业出版社,2015.2 潘松,黄继业.EDA技术实用教程VHDL版M.北京:科学出版社,2013. 3 潘松,黄继业.EDA技术实用教程M.北京:科学出版社,2002.4 曹志刚,钱亚生.现代通信原理M.北京:清华大学出版社,2001.5 柳春锋.电子设计自动化教程M.北京:北京理工大学出版社,2005.致 谢首先,感谢我的指导老师

42、刘海波老师,老师的指导给了我们很大的帮助,老师严谨,一丝不苟的工作作风是我们学习的榜样,由衷感谢老师的帮助与指导。其次,感谢我的室友们,从遥远的家来到这个陌生的城市里,是你们和我共同维系着彼此之间的感情,维系着寝室那份家的融洽。最后,要感谢我身边的同学们。在完成课程设计的过程中,身边的同学也给了我们很多的帮助,我们一起讨论,一起研究,解决了很多不懂的问题,谢谢我的同学们。 通过本次的课程设计使我对Quartus软件有了更进一步的认识,也提高了对VHDL语言的编写的能力。并且从中学到了很多课本中没有的东西,使我在知识的掌握与软件的操作上,都得到了不少的提高。附录 程序清单1、 m序列发生器源程序

43、:library IEEE;use ieee.std_logic_1164.all;entity ps isport(clk,load:in std_logic;q: out std_logic);end ps;architecture a1 of ps issignal c0,c1,c2,c3,c4,c5,c6,c7:std_logic;beginprocess(clk,load)beginif clkevent and clk=1 thenif(load=1) thenc7=0;c6=0;c5=0;c4=0;c3=0;c2=0;c1=0;c0=1;q=c7;elsec1=c0;c2=c1;c3=c2;c4=c3;c5

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论