




版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、成绩 课 程 设 计 说 明 书课程设计名称: eda技术课程设计 题 目: 设计汽车尾灯控制电路 学 生 姓 名: 专 业: 学 号: 指 导 教 师: 日期:2012年 6月 11 日 目录1前言31.1设计的目的31.2 设计要求31.3 功能分析31.4 eda的介绍41.4.1 eda技术的概念41.4.2 eda技术的特点41.4.3 eda设计流程41.5硬件描述语言(vhdl)51.5.1 vhdl的介绍51.5.2 vhdl语言的特点52.总体方案设计63.protel各电路模块及分析73.1时钟产生电路部分73.2电源供应电路部分73.3现场可编程门阵列fpga电路部分83
2、.4jtag下载电路部分93.5发光显示部分104.各单元模块的设计124.1汽车状态模块124.1.1设计的汽车状态模块124.1.2汽车状态控制的程序代码124.2 左尾灯控制模块与右尾灯控制模块134.2.1左尾灯控制模块134.2.2 汽车左灯hdl语言如下144.2.3 设计的右尾灯控制模块154.2.4汽车右灯hdl语言如下164.3 时钟模块174.3.1时钟控制模块174.3.2周期为2s的时钟源程序184.3.3周期为500ms的时钟源程序184.4各模块流程图195 系统的仿真与调试205.1汽车状态模块的仿真与分析205.2汽车尾灯左灯模块仿真及分析205.3汽车尾灯右灯
3、模块仿真及分析215.4时钟模块仿真及分析215.5整个系统的仿真及分析225.6整个顶层设计电路图23总结23参考文献24附图251前言随着科学技术的全面发展,汽车制造工艺得到了不断的进步,使得汽车已经成为现代人们主要的交通工具。人们了解到它们快捷、快速之于,也同时意识到汽车潜在的安全隐患,所以对具有汽车行驶状况提示作用的汽车尾灯进行研究是非常必要的。1.1设计的目的通过该课程设计,让学生掌握eda的基本方法,熟悉vhdl语言和一种eda软件(quartus ii),并能利用eda软件设计一个电子技术综合问题,进一步培养学生分析解决工程实际问题的能力,为以后的毕业设计和进行工程实际问题的研究
4、打下设计基础。1.2 设计要求汽车尾灯左、右各有3个,从中间开始编号,分别用l1、l2、l3,r1、r2、r3表示。当汽车转向时,按l1l1l2l1l2l3全亮l1(或r1r1r2r1r2r3全亮r1)次序循环亮,亮持续为2秒;当汽车刹车时,所有尾灯同时以2hz的频率闪烁;正常行驶时,所有尾灯灭。输入信号有左、右转向和刹车。1.3 功能分析从以上设计要求,将本系统分成四个功能设计模块:(1)汽车状态模块:该模块接收左转、右转、刹车和正常行驶的控制信号,根据所接受的信号控制左尾灯控制模块和右尾灯控制模块。(2)左尾灯控制模块:接受汽车状态模块的控制信号对左边三个尾灯的亮与灭进行控制。(3)右尾灯
5、控制模块:接受汽车状态模块的控制信号对右边三个尾灯的亮与灭进行控制。(4)时钟模块:由于当汽车转弯时尾灯按照一定顺序循环亮灭,亮持续时间为2s,而刹车时尾灯又以2hz的频率闪烁,故该模块提供周期为2s和500ms的时钟信号供左尾灯控制模块和右尾灯控制模块控制尾灯的亮灭。1.4 eda的介绍 1.4.1 eda技术的概念eda是电子设计自动化(electronic design automation)的缩写,在20世纪90年代初从计算机辅助设计(cad)、计算机辅助制造(cam)、计算机辅助测试(cat)和计算机辅助工程(cae)的概念发展而来的。eda技术就是以计算机为工具,设计者在eda软件
6、平台上,用硬件描述语言hdl完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。1.4.2 eda技术的特点利用eda技术进行电子系统的设计,具有以下几个特点: 用软件的方式设计硬件; 用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的; 设计过程中可用有关软件进行各种仿真; 系统可现场编程,在线升级; 整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。因此,eda技术是现代电子设计的发展趋势。1.4.3 eda设计流程典型的eda设计流程如下:1、文本/原理图编辑与修改。首先利用e
7、da工具的文本或图形编辑器将设计者的设计意图用文本或图形方式表达出来。 2、编译。完成设计描述后即可通过编译器进行排错编译,变成特定的文本格式,为下一步的综合做准备。 3、 综合。将软件设计与硬件的可实现性挂钩,是将软件转化为硬件电路的关键步骤。4、 行为仿真和功能仿真。利用产生的网表文件进行功能仿真,以便了解设计描述与设计意图的一致性。5、适配。利用fpga/cpld布局布线适配器将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作,其中包括底层器件配置、逻辑分割、逻辑优化、布局布线。适配报告指明了芯片内资源的分配与利用、引脚锁定、设计的布尔方程描述情况。 6、 功能仿真和时序仿真。7、
8、 下载。如果以上的所有过程都没有发现问题,就可以将适配器产生的下载文件通过fpga/cpld下载电缆载入目标芯片中。1.5硬件描述语言(vhdl)1.5.1 vhdl的介绍vhdl(very-high-speed integrated circuit hardware description language)主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,vhdl的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。vhdl的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不
9、可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是vhdl系统设计的基本1.5.2 vhdl语言的特点1.用vhdl代码而不是用原理图进行设计,意味着整个电路板的模型及性能可用计算机模拟进行验证。2.vhdl元件的设计与工艺无关,与工艺独立,方便工艺转换。3.vhdl支持各种设计方法,自顶向下、自底向上或者混合的都可以。4.可以进行从系统级到逻辑级的描述,即混合描述。5.vhdl区别于其他的hdl,已形成标准,其代码在不同的系统中可交换建模。2.总体方案设计第一种方案:通过
10、把脉冲电路,开关控制电路,三进制电路,译码与显示驱动电路等模块组合来实现。首先,通过555定时器构成多谐振荡器产生频率为1hz的脉冲信号,该脉冲信号用于供给双j-k触发器构成的三进制计数器和开关控制电路中的三输入与非门的输入信号。其次,双j-k触发器构成的三进制计数器产生00,01,10的循环信号,此信号提供左转,右转,停止的原始信号。最后,左转,右转,停止的原始信号通过6个与非门以及7410提供的高低电位信号,将原始信号分别输入到左,右的3个汽车尾灯上,得到的信号即可输入到发光二极管上,实现所需功能。这种方案的实现复杂,成本高,调试起来不容易成功,太过繁琐。 第二种方案:用复杂可编程器件cp
11、ld,用vhdl对汽车尾灯控制电路进行设计,并用quartus软件进行cpld芯片的编译和下载,生成是集成化的数字电路。这种方案没有传统设计中的接线问题,硬件功能的改变可以通过编程来修改,所以故障率低,可靠性高,而且体积小,体现了eda技术在数字电路设计中的优越性。3.protel各电路模块及分析3.1时钟产生电路部分时钟产生电路是由有源晶振实现的图5.1.1时钟电路3.2电源供应电路部分此电源供应电路由四个三极管和一个齐纳二极管和电容加上lm2576构成,为总电路提供电源如下图5.2.1。图5.2.1电源供应电路lm2576是降压型开关稳压器,lm2576具有非常小的电压调整率和电流调整率,
12、lm2576具有3a 的负载驱动能力,lm2576能够输出3.3v、5v、12v、15v 的固定电压和电压可调节的可调电压输出方式。lm2576 应用时比较简单且外围元件较少,lm2576内置频率补偿电路和固定频率振荡器。 lm2576 系列产品的开关频率为52khz,所以应用时可以使用小尺寸的滤波元件。 lm2576 可以高效的取代一般的三端线性稳压器,lm2576能够充分的减小散热片的面积, lm2576在一些应用条件下甚至可以不使用散热片。在规定的输入电压和输出负载的条件下,lm2576 输出电压的误差范围为4;振荡器的振荡频率误差范围为10;典型的待机电流为50a,芯片内置过流保护电路
13、和过热保护电路。 开关电压调节器lm2576的详细介绍 特点 1,3.3v、5v、12v、15v 的固定电压输出和可调节电压输出 2,可调节电压输出的范围为1.23v 到30v,其线性调整率和负载调整率最大可以有4的误差。 3,负载电流达到3a 4,输入电压达到36v 5,只需四个外围元件 6,内置固定频率为52khz 的振荡器 7,高效率 8,内置过热保护电路和过流保护电路3.3现场可编程门阵列fpga电路部分 本电路选用的是现场可编程门阵列fpga,采用的是epf10k10lc84型号,epf10k10lc84属于fpga中flex10k系列,如下图5.3.1。图5.3.15现场可编程门阵
14、列fpga电路它是altera公司生产的很成功的fpga系列之一。flex10k系列采用重复可构造的cmos sram工艺,把连续的快速通道互连与独特的嵌入式阵列结构相结合,同时也结合了众多可编程器件的优点来完成普通门阵列的宏功能。每个flex10k器件还包括一个嵌入式阵列和一个逻辑阵列,它能让设计人员轻松地开发出集储存器、数字信号处理器及特殊逻辑等强大功能于一身的芯片。此外,flex10k所具有的多电压功能可以全面支持以不同电压工作的产品,同时它还备有多款封装供设计者选择。3.4jtag下载电路部分图5.4.1 jtag下载电路jtag也是一种国际标准测试协议(ieee 1149.1兼容),
15、主要用于芯片内部测试。现在多数的高级器件都支持jtag协议,如dsp、fpga器件等。标准的jtag接口是4线:tms、tck、tdi、tdo,分别为模式选择、时钟、数据输入和数据输出线。 相关jtag引脚的定义为:tck为测试时钟输入;tdi为测试数据输入,数据通过tdi引脚输入jtag接口;tdo为测试数据输出,数据通过tdo引脚从jtag接口输出;tms为测试模式选择,tms用来设置jtag接口处于某种特定的测试模式;trst为测试复位,输入引脚,低电平有效。gnd ti还定义了一种叫sbw-jtag的接口,用来在引脚较少的芯片上通过最少的利用引脚实现jtag接口,它只有两条线,sbwt
16、ck,sbwtdio。实际使用时一般通过四条线连接,vcc,sbwtck,sbtdio,gnd,这样就可以很方便的实现连接,又不会占用大量引脚。 jtag最初是用来对芯片进行测试的,基本原理是在器件内部定义一个tap(test access port测试访问口)通过专用的jtag测试工具对进行内部节点进行测试。jtag测试允许多个器件通过jtag接口串联在一起,形成一个jtag链,能实现对各个器件分别测试。现在,jtag接口还常用于实现isp(in-system programmable;在线编程),对flash等器件进行编程。 jtag编程方式是在线编程,传统生产流程中先对芯片进行预编程现再
17、装到板上因此而改变,简化的流程为先固定器件到电路板上,再用jtag编程,从而大大加快工程进度。jtag接口可对psd芯片内部的所有部件进行编程。 在硬件结构上,jtag 接口包括两部分:jtag 端口和控制器。与jtag 接口兼容的器件可以是微处理器(mpu)、微控制器(mcu)、pld、cpl、fpga、asic 或其它符合ieee1149.1 规范的芯片。ieee1149.1 标准中规定对应于数字集成电路芯片的每个引脚都设有一个移位寄存单元,称为边界扫描单元bsc。它将jtag 电路与内核逻辑电路联系起来,同时隔离内核逻辑电路和芯片引脚。由集成电路的所有边界扫描单元构成边界扫描寄存器bsr
18、。边界扫描寄存器电路仅在进行jtag 测试时有效,在集成电路正常工作时无效,不影响集成电路的功能。3.5发光显示部分发光显示部分由六个发光二极管组成图5.5.1发光显示部分 led(light emitting diode),发光二极管,是一种固态的半导体器件,它可以直接把电转化为光。led的心脏是一个半导体的晶片,晶片的一端附在一个支架上,一端是负极,另一端连接电源的正极,使整个晶片被环氧树脂封装起来。半导体晶片由两部分组成,一部分是p型半导体,在它里面空穴占主导地位,另一端是n型半导体,在这边主要是电子。但这两种半导体连接起来的时候,它们之间就形成一个p-n结。当电流通过导线作用于这个晶片
19、的时候,电子就会被推向p区,在p区里电子跟空穴复合,然后就会以光子的形式发出能量,这就是led发光的原理。而光的波长也就是光的颜色,是由形成p-n结的材料决定的。4.各单元模块的设计4.1汽车状态模块4.1.1设计的汽车状态模块汽车状态模块如图3.1.1所示,源程序如下,汽车状态模块precontrol。图3.1.1 汽车状态模块precontrol其中left、right、stop分别表示左转、右转和刹车信号,对应的输出引脚lft、rit、lr分别表示左有效、右有效和刹车有效。真值表如表2.1.1所示:信号leftrightstoplftritlr意义逻辑状态000000正常行驶100100
20、左转弯010010右转弯001001刹车表3.1.1 汽车状态控制信号与输出信号真值表4.1.2汽车状态控制的程序代码汽车状态控制的程序如下library ieee;use ieee.std_logic_1164.all;entity precontrol isport(left:in std_logic;right:in std_logic;stop:in std_logic;lft:out std_logic;rit:out std_logic;lr:out std_logic);end precontrol;architecture one of precontrol isbeginpr
21、ocess(left,right,stop)variable condition:std_logic_vector(2 downto 0);begincondition:=left&right&stop;case condition iswhen 000=lft=0;rit=0;lrlft=1;rit=0;lrlft=0;rit=1;lrlft=0;rit=0;lrnull;end case;end process;end one;4.2 左尾灯控制模块与右尾灯控制模块4.2.1左尾灯控制模块设计的左尾灯控制模块如图3.2.1所示,源程序见下图3.2.1 左尾灯控制模块leftcontrol对
22、各输入与输出引脚的说明如下:clk:接受时钟信号,用于尾灯的顺序循环亮灭。clk将接收两种不同频率的时钟信号即周期为2s和500ms中的一种,具体接收哪一种由该模块的时钟使能输出信号clk2sen和clk500msen决定。en:与汽车状态模块precontrol的lft引脚连接,用于使能该左尾灯控制模块。lr:汽车刹车输入信号,与汽车状态控制模块precontrol的lr引脚相连。由于当汽车左转弯、正常行驶和刹车时,该模块en引脚都将接收到低电平,故而需要通过判断lr和en的引脚电平才能判断汽车当前是处于刹车状态还是右转弯或正常行驶状态。l2、l1、l0:汽车左边的三个尾灯控制信号。clk2
23、sen、clk500msen:时钟的选择信号。这两个引脚将根据汽车当前所处的状态使能相应的时钟。当汽车出处于左转弯时,clk2sen输出高电平,clk500msen输出低电平,此时clk将接收到周期为2s的时钟信号以满足按l1l1l2l1l2l3全亮l1依次序循环灭,再依次亮灭,亮持续为2s对时钟的需要;当汽车处于刹车状态时,clk2sen输出低电平,clk500msen输出高电平,此时clk将接收到周期为500ms的时钟信号以满足汽车刹车是所有尾灯以2hz的频率闪烁的设计要求。4.2.2 汽车左灯hdl语言如下 library ieee;use ieee.std_logic_1164.all
24、;use ieee.std_logic_unsigned.all;entity leftcontrol isport(clk:in std_logic;en:in std_logic;-汽车左转弯模块使能信号输入lr:in std_logic;-汽车刹车信号输入,高有效l2,l1,l0:out std_logic;-三个左尾灯控制信号输出clk2sen,clk500msen:out std_logic);-2s和500ms时钟使能信号输出end entity leftcontrol;architecture one of leftcontrol issignal clkop:std_logic
25、;beginclkop=clk;com1:process(en,clk,lr)variable tmp:std_logic_vector(2 downto 0);variable clken:std_logic_vector(1 downto 0);beginif lr=1 then tmp:=clkop&clkop&clkop;clken:=01;-汽车刹车,周期为500ms的时钟使能elsif clkevent and clk=1 then if en=1 then -汽车左转弯if tmp=111 then tmp:=110;clken:=10;-周期为2s的时钟使能elsif tmp=
26、000 then tmp:=111;-在左转弯时灯全灭后再全息亮else tmp:=tmp(1 downto 0)&1;-end if; end if; end if;r2=tmp(2);l1=tmp(1);l0=tmp(0);clk2sen=clken(1);clk500msen=clken(0);end process;end one;4.2.3 设计的右尾灯控制模块右尾灯控制模块如图3.2.4所示,源程序见下 图3.2.4右尾灯控制模块rightcontrol右尾灯控制模块rightcontrol各引脚功能与左尾灯控制模块leftcontrol各引脚功能相似,这里不再详述。4.2.4汽车
27、右灯hdl语言如下library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity rightcontrol isport(clk:in std_logic;en:in std_logic; -汽车右转弯模块使能信号输入lr:in std_logic; -汽车刹车信号输入,高有效r2,r1,r0:out std_logic; -三个右尾灯控制信号输出clk2sen,clk500msen:out std_logic); -2s和500ms时钟使能信号输出end entity rightcontrol;a
28、rchitecture one of rightcontrol issignal clkop:std_logic;beginclkop=clk;com1:process(en,clk,lr)variable tmp:std_logic_vector(2 downto 0);variable clken:std_logic_vector(1 downto 0);beginif lr=1 then tmp:=clkop&clkop&clkop;clken:=01;-汽车刹车,周期为500ms的时钟使能elsif clkevent and clk=1 then if en=1 then -汽车右转弯
29、if tmp=111 then tmp:=110;clken:=10;-周期为2s的时钟使能elsif tmp=000 then tmp:= 111;-在右转弯时灯全灭后再全息亮else tmp:=tmp(1 downto 0)&1; -灯每隔2s亮一个end if; end if; end if;r2=tmp(2);r1=tmp(1);r0=tmp(0);clk2sen=clken(1);clk500msen=clken(0);end process;end one;4.3 时钟模块4.3.1时钟控制模块2s时钟模块和500ms时钟模块分别如图3.3.1和图3.3.2所示: 图3.3.1 2
30、s时钟模timer2s 图3.3.2 500ms时钟模块timer500ms左尾灯控制模块left通过clk2sen和clk500msen来控制该模块clk接收到的时钟信号的实现原理如下图3.3.3所示:图3.3.3 时钟选择实现原理图当汽车左转弯时,左尾灯控制模块leftcontrol使能,此时该模块clk2sen输出高电平,clk500msen输出低电平,并分别与timer2s和timer500ms相与,则timer500ms相当于被禁止,后经或门连接至该leftcontrol模块的clk引脚,故而clk将接收到timer2s发出的周期为2s的时钟信号。当汽车刹车时,左尾灯控制模块left
31、control也使能,此时该模块clk2sen输出低电平,clk500msen输出高电平,并分别与timer2s和timer500ms相与,则timer2s相当于被禁止,后经或门连接至该leftcontrol模块的clk引脚,故而clk将接收到timer500ms发出的周期为500ms的时钟信号。当汽车正常行驶时尾灯均灭,此时不需要时钟参与,左尾灯控制模块leftcontrol的clk2sen和clk500msen处于任意状态。4.3.2周期为2s的时钟源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned
32、.all;entity timer2s isport(clk:in std_logic;clkout:out std_logic);end entity timer2s;architecture one of timer2s isbeginprocess(clk)variable counter:std_logic_vector(7 downto 0);variable qclkout:std_logic;begin if clkevent and clk=1 then -以128hz为基本时钟源,对其上升沿计数if counter=11111111 then -计数个数为256个即25612
33、8hz2sqclkout:=not qclkout;clkout=qclkout;counter:=00000000;-计数器满则输出反相计数器清零else counter:=counter+1;-每捕捉到一个基本时钟源上升沿计数器加一end if; end if; end process;end architecture one;同样,右尾灯控制模块rightcontrol的clk时钟信号的接收与左尾灯控制模块leftcontrol的实现原理一样,它们共用timer2s和timer500ms这两个时钟模块,这里不再详述其实现原理。4.3.3周期为500ms的时钟源程序library ieee
34、;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity timer500ms isport(clk:in std_logic;clkout:out std_logic); architecture one of timer500ms isbeginprocess(clk)variable counter:std_logic_vector(7 downto 0);variable qclkout:std_logic;begin if clkevent and clk=1 then -以128hz为基本时钟源,对其上升
35、沿计数if counter=01000000 then-计数个数为64个即64128hz0.5sqclkout:=not qclkout;clkout=qclkout;counter:=00000000;-计数器满则输出反相计数器清零else counter:=counter+1; -每捕捉到一个基本时钟源上升沿计数器加一 end if; end if; end process;end architecture on4.4各模块流程图5 系统的仿真与调试5.1汽车状态模块的仿真与分析当输入的信号为左转,右转或停止的其中一个信号时又vhdl程序实现后,波形如下图4.1.1汽车状态仿真波形如图当输
36、入端有一个为高电平时,输出端相应的输出高电平,表明在状态中高电平试有效5.2汽车尾灯左灯模块仿真及分析 汽车尾灯左灯模版由vhdl仿真实现后波形如下图4.2.1汽车尾灯左灯模块仿真波形对时序仿真图进行分析:clk为时钟信号 en和ir 分别为使能信号en2s和en500ms分别为周期时钟信号。当en和en500ms输入为高电平时l0,l1,l2同时开始亮,又依次熄灭,再依次亮灭。 5.3汽车尾灯右灯模块仿真及分析汽车尾灯右灯模块由vhdl仿真实现后波形如下图4.3.1汽车尾灯右灯模块仿真波形对时序仿真图进行分析:clk为时钟信号 en和ir 分别为使能信号en2s和en500ms分别为周期时钟信号。
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 我的设计才华-个人竞聘简历
- 护理学导论与跨文化理论
- 202x工作总结暨新年计划模板2
- 物业主任述职报告
- 法律法规与标准知识
- 个性化课程总结汇报
- 浙江省普通高校2025届高三4月调研测试物理试题试卷含解析
- 赣南师范大学《互联网+医疗》2023-2024学年第一学期期末试卷
- 武汉体育学院体育科技学院《绿色能源利用技术》2023-2024学年第二学期期末试卷
- 广东岭南职业技术学院《健身休闲俱乐部经营管理》2023-2024学年第二学期期末试卷
- 职业生涯规划-体验式学习知到智慧树章节测试答案2024年秋华侨大学
- 手持式电动工具安全操作规程(3篇)
- 电商设计电子课件
- 口腔科2024年工作计划
- 成人大专工商管理毕业论文范文
- 220KV变电站电气设计(220KV变电站电气施工组织设计)完整版
- DLT596-2021电力设备预防性试验规程
- 2024年网络安全培训课件:保护企业信息安全
- 2024北京初三一模语文汇编:议论文阅读
- 镀锌钢管理论重量表
- 医疗物联网行业市场调研分析报告
评论
0/150
提交评论