数字功率放大器[共39页]_第1页
数字功率放大器[共39页]_第2页
数字功率放大器[共39页]_第3页
数字功率放大器[共39页]_第4页
数字功率放大器[共39页]_第5页
已阅读5页,还剩33页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、1 BIT 全数字音频功率放大系统电子科技大学微电子研究所2000/9/12(一)、概述一、 数字功放的意义及应用数字变换技术是世纪发展的重要领域之一,较模拟变换技术更具有广泛的应用需求和强大的生命力。目前的视听产品(如CD、LD、VCD、DVD、电脑音响、家庭影院等)和通讯产品(如手机)的音频功率放大系统,均采用D/A变换技术,它存在信号失真、效率低、抗干扰性差等问题;较之而言,数字功放是新一代高保真、低功耗的全数字功率放大系统。具有以下显著优点:高保真、高效率、高过载能力与高功率储备能力、功放和扬声器的匹配好、声像定位好、升级换代容易、生产调试方便等,且与未来的全数字式音频广播、高清晰度电

2、视的发展趋势相匹配。既可采用现有的CD机(或DVD机)、DAT(数字录音机)、PCM(脉冲编码调制录音机)作为数字音源,又具备模拟音频输入接口,兼容现有的模拟音源,因此具有广阔的市场: 1、视听产品市场数字功放高品质的特性必将使其引起相关视听产品的更新换代,它将拥有上千亿的市场。如家庭用CD、LD、VCD、DVD、电视机音响、电脑音响、家庭影院等;公共场所用音响系统如:会议室、音乐厅、电影院、广场、火车站、机场用功放系统等等,这方面预计将有数千亿的产值。1997年全国音响设备的整机销售量及其实际市场统计数据如表1所示(单位:万台)。表1 1997年全国音响设备的整机销售量及其实际市场汽车收音机

3、收录机音响彩电电路 我们仅家庭拥有情况来计算,按一个家庭拥有一套上述视听产品,每套视听产品用数字功放系统价值2000元,全国3亿家庭,即是6000亿元产值。2、便携产品市场数字功放效率高、耗能少的特性必将使其广泛应用与便携产品市场。如:移动通讯产品(如手机)、便携式电脑、发音电子字典、复读机、汽车火车等交通工具用音响、便携式收音机、随身听、便携式CD机等等。根据信息产业部计算机与微电子研究中心(CCID)的统计,便携式多媒体电脑的销售量为29.8万台,销售额58.7亿元。多媒体电脑的销售趋势是每年以大于20%的速率增长,可见仅便携式多媒体电脑一项便是一个十分巨大的市场。根据以上统计数字,按每台

4、便携式多媒体电脑采用300元小功率数字音频功放系统计算,则仅便携式多媒体电脑用全数字小功率音频功放系统每年拥有大约一亿元的市场。3、未来的全数字系统市场 全数字化功放将使其与未来的数字音频广播、高清晰度数字电视产品融为一体,成为3G新兴产业的重要组成部分,这方面的产值会在亿以上。 多媒体电脑与上述家电产品的结合是今后电脑和家电产品发展的必然趋势。目前国内的上海市已经在开展三网合一工程,将互联网、有线电视网、通讯网合三为一。三网合一必须用到多媒体电脑,所以全数字多媒体电脑音频功放今后必然融入上述家电,拥有更大的市场。二、 数字功放的特点1、高保真。数字功放的交越失真、失配失真和瞬态互调失真均小。

5、晶体管在小电流时的非线性特性会引起模拟功放在输出波形正负交叉处的失真(小信号时的晶体管会工作在截止区,此时无电流通过,导致输出严重失真)称为交越失真,交越失真是模拟功放天生的缺陷;而数字功放只工作在开关状态,不会产生交越失真。模拟功放存在推挽对管特性不一致而造成输出波形上下不对称的失配失真,因此在设计推挽放大电路时,对功放管的要求非常严格,即使如此也未必能够做到完全对称。而数字功放对开关管的配对无特殊要求,无须严格匹配;模拟功放为保证其电声指标,几乎无一例外都采用负反馈电路,在负反馈电路中,为抑制寄生振荡,采用相位补偿电路,从而会产生瞬态互调失真。数字功放在功率转换上无须反馈电路,从而避免了瞬

6、态互调失真。2、高效率,可达。由于数字功放采用开关放大电路,效率极高,可达(模拟功放一般仅为,甚至更低),在工作时发热量非常小。功率器件均工作在开关状态,因此它基本上没有模拟功放的静态电流损耗,所有能量几乎都是为音频输出而储备,而且瞬态响应好。3、过载能力与功率储备能力强数字功放电路的过载能力远远高于模拟功放。模拟功放分为A类、B类或类等几类功率放大电路,正常工作时功放管工作在线性区;当过载后,功放管工作在饱和区,出现削顶失真,失真呈指数级增加,音质迅速变坏。而数字功放在功率放大时一直处于饱和区和截止区,只要功率管不损坏,失真度不会迅速增加。4、功放和扬声器的匹配好由于模拟功放中采用的功放管内

7、阻较大,所以在匹配不同阻值的扬声器时,模拟功放电路的工作状态会受到负载(扬声器)大小的影响。而数字功放的输出电阻不超过.欧姆(开关管的内阻加滤波器内阻),相对于负载(扬声器)的阻值(欧姆)完全可以忽略不计,因此不存在于扬声器的匹配问题。5、声像定位好对模拟功放来说,输出信号和输入信号之间一般都存在着相位差,而且在输出功率不同时,相位失真亦不同。而数字功放采用对数字信号进行放大,使输出信号与输入信号完全一致,相移为零,因此声像定位准确。6、生产调试方便模拟功放存在着各级工作点的调试问题,不利于大批量生产。而数字功放大部分为数字电路,一般不需要调试即可正常工作,特别适合大规模生产。三、 国内外技术

8、发展状况及特征国外对数字音频功率放大器领域进行了二十年的研究。在六十年代中期,日本研制出8bit的数字音频功率放大器;1983年,国外提出了D类(数字)PWM功率放大器的基本结构。但是这些功放仅能实现低位D/A功率转换,若要实现16bit、44.1kHz采样的功率放大器,其末级时钟则约需2.8GHz,显然无法实现。此后,研究的焦点在于降低其时钟频率。近年来随着超大规模集成电路(VLSI)技术的进步,在数字音频小信号处理技术方面取得了显著的进步,主要解决了多级噪声整形技术、比特流技术、CIRC解码和纠错处理技术,内插和静音处理技术、超取样数字滤波技术等等。在这方面国外大公司在80年代末90年代初

9、开始推出其IC芯片产品。如:松下公司的MN系列、AD公司的ADSP2100系列、T&T公司的DSP32系列、摩托罗拉公司的DSP5600系列等等。目前,荷兰的PHILIPS公司与日本的SHARP公司均研制出了 1BIT 数字功放并成功投放市场;国内则有天奥集团公司的1BIT 数字功放也于近期正式投放市场。天奥公司的 1BIT 数字功放在数字信号处理部分采用FPGA芯片及超取样数字滤波及-调制等电路。四、 本电路特点本电路为 1BIT 全数字功率放大电路,与国内现有的产品相比,具有以下特点:1、 采用自行开发有自主知识产权的超大规模集成电路。该电路采用8倍超取样数字滤波,多级噪声整形和-调制,1

10、3级到24级PWM信号输出的方案,保证了整个系统的频响特性。2、 可兼容不同BIT数和不同格式数字音频信号。数字信号选择器可实现对数字音频信号BIT位数识别(16BIT-24BIT),接口格式识别,系统取样率识别等功能。3、 功放级采用了自行研制的数字功放专用高速平衡桥驱动电路。该电路采用了先进的窄脉冲及死区技术,为系统高速稳定的运作提供了有力的保障。(二)、1BIT全数字音频功率放大器方案全数字音频功放是直接通过光纤和数字同轴电缆从数字音源接口接收数字PCM音频信号,输入模拟音频信号时先经过内置的A/D转换成数字信号后在进行处理,在整个信号处理和功率放大过程中,全部采用数字方式,只有在功率放

11、大后为了推动音箱才转化为模拟信号。一、技术性能38输入接口 :I2R ,S/P,模拟信号比特字长 :16BIT 24BIT频率响应 :20Hz 20KHz信 噪 比 : 96dB (16BIT) 总谐波失真:0.02% (THD+N) 动态范围 :98dB 146dB (1624BIT) 互调失真 :0.04% 负载阻抗 :4 8二、工作原理经分析比较给出1BIT全数字音频功率放大器方案,其框图见图1图1 1BIT全数字音频功率放大器框图 本方案允许数字音频信号和模拟信号输入。模拟信号输入时经过低通滤波后进入ADC完成AD转换后进行编码,最后进入数字信号选择器。该功能可由CS8402A 和CS

12、5390完成。 数字信号选择器可完成对多路数字信号的选择。选中的多BIT数字音频信号进入数字信号接收和转换电路。完成对数字音频信号BIT位数识别(16BIT-24BIT),接口格式识别,系统取样率识别,同轴复合音频数码信号转换串行音频数字信号等功能。该部分由YM3623B或类似的CS8412,CS8414,TDA1315电路完成。 数字信号处理主要完成将多BIT信号转换成1BIT信号,并以PWM形式输出。该电路是整个系统的核心。贵公司寄来的材料中采用SM5871(IC17)电路实现,但迄今我们尚未查到该电路。我们拟采用SM5872完成其功能,进一步考虑到改进数字信号处理算法以提高整机性能和降低

13、成本的需要,我们正着手自行开发有自主知识产权的超大规模集成电路。该电路采用8倍超取样数字滤波,多级噪声整形和-调制,13级到24级PWM信号输出的方案。其功能与SM5872一致,且指标将优于SM5872。详细方案和与SM5872的指标比较将在专门的技术报告中给出。 1BIT功放级是整个系统的另一个核心部分,采用本单位研究成果“D类功放专用全桥驱动电路和平衡桥电路”完成。该电路采用了窄脉冲电平位移技术,开关噪声抑制技术和提高功率桥可靠性的死区产生技术。 低通滤波器采用四阶巴特沃斯低通滤波器,用于将大功率数字脉冲信号转换为模拟音频信号。巴特沃斯低通滤波器的特点是带内平坦度高,从而使得输出音频信号幅

14、频特性较好。三、仿真要求仿真工作重点在于对影响整机性能指标的数字信号处理部分、1BIT功放部分和输出级低通滤波部分进行分析。其中数字处理部分的方案和算法将很大程度上决定了信噪比的重要参数;1BIT功放部分和输出级低通滤波部分的电路设计和优化是进一步提高整机指标的关键,因此应将上述几部分联合起来仿真分析。(三)、模拟信号的低通滤波部分设计与仿真一、.原理分析低通滤波器的主要作用:削弱高次谐波及频率较高的干扰和噪音。有补偿作用的反相放大器的主要作用:防止自激振荡和反相放大。 输入信号低通滤波的原理图为图2:低通低通inmx out低通 反相放大xz低通in outmx图2 低通滤波原理图输入信号(

15、)经过二次低通滤波输出信号为,。比较,得,进行反相放大,得信号。,。对,进行有源低通滤波,得输出信号。二、器件参数1、TL071,TL072,TL074低噪声JFET输入运算放大器,为了低输入失调电压,每个内部补偿的运算放大器,该器件所表现的低噪声的低谐波失真使之成为高保真音频放大器应用的理想器件。 .低输入噪声电压:18nV/(典型) .低谐波失真:0.001%(典型) .低输入偏置和失调电流 .高输入阻抗:1012(典型) .高转换速率:13V/s(典型) .宽增益带宽:4.0MHz(典型).低电源电流:每个放大器1.4mA2、 AD711美国模拟器件,同类替代品LF411系列。LF411

16、C,LF412C低失调,低漂移JFET输入运算放大器。 .低输入失调电压最大值:2.0mV最大值(单) 3.0mV最大值(双) .低温度系数的输入失调电压:10v/ .低输入失调电压:20pV .低输入偏置电流:60pA.低输入噪声电压:18nV/ .低输入噪声电流:0.01pA/ .低的总谐波失真:0.05% .低的电源电流:2.5mA .高输入电阻:1012 .宽的增益带宽:8.0MHz .高转换速率:25V/s (典型值) .快速建立时间:1.6s (在0.01%内)三、电路分析1、有源低通滤波器如图3所示: 图3 有源低通滤波器 注:实际仿真时的电容值为800pF2、有补偿作用的反相放

17、大器如图4所示:图4 有补偿作用的反相放大器 注:实际仿真时的电容值为1nF四、仿真波形1、 0时波形图为图5、6、7、8图5图6图7图8(3)时波形图为 图9、10、11、12图9图10图11 图12五、仿真参数频谱特性分析:F(Hz)20505001k5k8k10k13kOut(mv)4.504.504.504.505.005.506.007.00X(mv)50.050.050.050.053.056.059.068.011.111.111.111.110.610.29.99.720lg|Au|20.90620.90620.90620.90620.50620.15619.85119.748

18、相移0.0000.0000.0000.0000.0500.0720.0800.0884F(Hz)15k18k20k23k25k30k50kOut(mv)7.508.709.5010.711.514.023.5X(mv)66.070.072.075.076.081.094.08.88.17.67.06.65.84.020lg|Au|18.89018.11217.59216.91316.40215.24712.042相移0.0900.09360.0880.08280.0800.0720.035频谱图如图13,相移图如图14所示: 图13频谱图 图14 相移图 (四)、数字信号处理部分设计与仿真一、

19、基本原理数字信号处理芯片设计包括接口电路、超采样数字滤波器、多级噪声整形(MASH)、PWM转换和时钟控制部分等几个功能块。系统设计要求:输 入:16比特,44.1KHz串行数据信号;左右声道同步信号;位时钟同步信号。串并行变换器:输入以上三个信号,输出16比特,44.1KHz的并行信号,同时分解出左右声道信号。并以此为数字滤波器的输入。数字滤波器 :输入以上并行信号,输出20比特,44.1KHz8352.8KHz的并行信号,并以此作为多级噪声整形系统的输入。多级噪声整形部分:输入以上并行信号,输出4比特,44.1KHz16705.6KHz的并行信号(低频信噪比比较高),并以此作为PWM产生电

20、路的输入。PWM产生电路:输入以上并行信号,输出PWM信号。时 钟 电 路:包括一个倍频器,输入位时钟信号,经过倍频和分频,得到各个部分所需的同步时钟。整个电路的具体框图如图15所示。串并行变换数字滤波器MASHPWM产生电路时钟控制同步电路PWM波 输出datalrclkbclk图15 数字信号处理芯片系统设计 二、仿真及综合 仿真工作包括VHDL功能仿真(数字仿真)、数模混合仿真、系统综合、后仿真结果等几个部分。详细的模拟分析结果在专门的技术报告中给出。1、 VHDL功能仿真(数字仿真) 数字音频处理集成电路的VHDL语言描述(摘要)如下:-D_audio.vhdlibrary IEEE;

21、use IEEE.std_logic_1164.all;entity digital_audio isport(data_in:instd_logic_vector(15 downto 0);clk:inSTD_LOGIC;clr:instd_logic;data_out:outstd_logic);end digital_audio;architecture data_flow of digital_audio iscomponent sdf -数字滤波器,Port(data_in:instd_logic_vector(15 downto 0);clk:instd_logic;data_ou

22、t:outstd_logic_vector(19 downto 0);end component;component clock -时钟port(clk384:inSTD_LOGIC; clk4:outSTD_LOGIC);end component;component mash -多级噪声整形port(data_in:inSTD_LOGIC_VECTOR(19 downto 0);data_out:outSTD_LOGIC_VECTOR(3 downto 0);end component;component convert -PWM 生成电路end component; beginend i

23、f;end if;end process;end rtl;图16 数字仿真结果图16给出数字仿真结果示例。从波形可以看出,在信号增大期间,脉冲宽度是逐渐增大的,但又不同于对模拟信号直接进行调制的PWM波形,它在逐渐增大的过程中又有微小的波动。这也正验证了多级噪声整形的效果。即是把噪声从低频段赶到高频段,从图上看就是通过加入高频的波动来提高低频的信噪比。(五)、功放级电路设计与仿真一、功放级电路原理 功放级电路主要由我们自行设计的D类功放专用全桥驱动电路和平衡桥电路组成。全桥驱动电路采用了先进的窄脉冲和死区技术,具有过/欠压等智能保护功能,最大程度的降低了自身功耗,保证了系统的稳定性;平衡桥电路

24、考虑了系统浪涌保护及桥臂间延迟时间匹配。1、 全桥驱动电路我们设计的全桥驱动电路的电路结构可分为两个部分:一部分为驱动电路部分;另一部分为控制与保护电路部分。根据电路内部各部分在功能上的差别与联系,我们可以把该电路大体分成十六级单元子电路。其中主要的子电路有:输入电路(INPUT CIRCUIT)、死区产生电路(DEADTIME GENERATOR CIRCUIT)、脉冲发生电路(PULSE GENERRATOR CIRCUIT)、电平位移电路(SHIFTER CIRCUIT) 、脉冲合成电路(PULSE FUSION CIRCUIT)等。我们在该驱动电路的IC研制中,A、提出了新型电导调制功

25、率器件的非准静态输运模型,对具有抽出结构电导调制功率器件的瞬态输运过程进行了理论分析和实验研究;B、提出了一种新的亚微米级局域寿命控制技术(低能He注入寿命控制技术),用于提高横向电导调制功率器件的开关速度。该技术有两方面的作用:一是在漂移区中引入局域短寿命区,通过优化设计使得VFTOFF折衷关系最优。其版图如图17所示: 图17 全桥驱动芯片版图图19 脉冲发生器产生的下降波形图20 高端输出的驱动波形图21 比较器输出的波形图18 脉冲发生器产生的上升波形*驱动电路实际测试波形图22 高端输出上升沿延迟图23 高端输出下降沿延迟二、功放模块具体参数参数单位最小值典型值最大值工作电压V815

26、20高端电压V860100上升沿延迟ns110下降沿延迟ns80桥间延迟匹配ns80输出峰值电流A2静态工作电流A0.5输入信号高电平V3520输入信号低电平V003功耗W0.50.81.5工作频率Hz300k500k2、 平衡桥电路在平衡桥电路设计中,我们选择了与驱动电路相匹配的功率VDMOS器件构成桥体,并对以下几个方面的问题作了考虑。A、系统浪涌保护B、续流保护C、桥臂间时间延迟匹配三、功放级电路仿真结果1、 整体功能仿真图24如图24所示:输入是频率为500kHz标准TTL信号,输出为频率相同、060V的方波信号,电路较好地实现了放大功能。 图25 上升沿延迟 图26 下降沿延迟从图2

27、5、26可知,电路上升、下降延迟均在40ns左右,由于仿真无法考虑一些实际电路中的分布参数,故与实际值存在一定的差异。2、 输入电路(INPUT CIRCUIT)仿真图27如图27所示,输入是08V,500KHz的方波信号,经输入电路转化成同频率015的方波信号,由此看出本级子电路的主体功能为初级电平转移。3、 死区产生电路(DEADTIME GENERATOR CIRCUIT)图28如图28所示,V(12)、V(13)分别为高端和低端的输入信号,两路信号彼此之间反相。后级的平衡桥电路中高/低端功率器件正常工作时电流很大,在开关过程中,只要有一小段共同导通时间均会产生严重的后果,为了避免高低端

28、功率器件出现同时导通而发生烧毁,我们引入了死区概念,对两路输入信号作了死区处理,死区时间约为100ns。4、脉冲发生电路(PULSE GENERRATOR CIRCUIT)图29为降低系统功耗,本电路采用了先进的窄脉冲技术,如图29所示,输入信号V(2)经过窄脉冲产生电路后被转化为两脉冲宽度约100ns的两路窄脉冲信号,一路是上升沿窄脉冲V(3),另一路是下降沿窄脉冲V(4)。在后级电路的工作过程中,均是以此窄脉冲信号作为运算和处理信号,直至复合驱动功率器件。5、 脉冲合成电路(PULSE FUSION CIRCUIT)图30 如图30所示,本子电路的主要功能是将经运算和处理的窄脉冲信号复合成

29、原输入信号以驱动功率器件。图中的V(4)、V(5)分别为上升沿和下降沿窄脉冲,V(6)为合成后的方波信号。6、 死区对电路系统频响特性的影响为提高系统工作的稳定性,我们在电路设计中采用了死区产生电路,以保障功率器件的正常工作。而死区的设计不可避免的会对原信号产生一定的失真,为此,我们特别做了死区电路对系统频响特性影响的仿真。如图31、32、33、34所示: 图31 无死区时PWM产生信号 图32 50ns死区时PWM信号 图33 无死区时系统频谱图 图34 50ns死区时系统频谱图 由以上四图分析可知,虽然死区电路会产生失真,但较短时间的死区(如50ns)不会对系统频响特性产生较大影响,也不会

30、产生相应的高频谐波分量。因此,综合考虑,我们在电路设计中将采用此技术。(六)、输出滤波网络设计与仿真 本级电路为数字功放的输出级,即是对功放输出的信号进行解调的滤波器部分。 关于输出滤波网络的频幅特性, 相移等具体参数分析在技术报告中给出。一、电路要求与仿真要求 输入Vin1,Vin2为两个反相的PWM波,两个PWM波周期T相等,频率均为f0=44,116k,调制度m=0.5,Vmax=60v,Vmin=0v。输出V0ut1,Vout2为解调PWM波而得到的音频波形,此即为本电路的功能。要求输出的波的频带范围为2020kHz,H(jc)0.9,Hj(6c)0.01。(其中c=2f0)二、电路的

31、原理 此电路模型为无源的考尔型滤波器,运用的滤波方式为巴特沃斯低通与切贝雪夫低通,都能得到较好的频谱特性、噪声特性及容差特性。三、仿真 我们对自行设计的滤波电路(四阶巴特沃斯滤波器、四阶切贝雪夫滤波器、五阶巴特沃斯滤波器、六阶巴特沃斯滤波器)进行频谱分析与相移分析,分析结果如下: 图35 四阶巴特沃斯滤波器相移与频谱图图36 四阶切贝雪夫滤波器频谱与相移图图37 五阶巴特沃斯频谱与相移图 图38 六阶巴特沃斯频谱与相移图 原方案滤波电路分析的频谱、相移如图39:图39 原方案滤波器频谱与相移图 比较上面五种滤波器方案,从频谱、相移来看,以图35频谱相移效果最好,现就对图35的滤波器进行噪声分析

32、、容差分析,进而又对其通频带内10kHz、20kHz的音频波进行PWM解调滤波,具体分析结果如下:1、 噪声分析与容差分析: 图 40 噪声分析结果 从图40可以看出,本滤波器噪声对滤波影响很小,为10-19 数量级。 图 41 滤波器电容容差分析结果 图41给出了滤波器的电容容差为15%时进行的蒙特卡罗分析(Monte Carlo)的容差结果,五条曲线反应了电容的一个标称值与四个随机样值的频谱特性。电容容差分析结果满足我们要求。 图 42 滤波器的电感容差分析结果图42反映了电感的容差在20%内变化时的分析结果,跳变最大的曲线即为最坏情况的频响特性。2、10kHz、20kHz 滤波分析: 图

33、43 携带10khz音频波的PWM波经滤波后的波形 图44 携带20khz的PWM波经滤波后的图形 图43、44反映了滤波的真实情况,在开始一段时间(图上示值小于100us)内,滤波网络吸收能量使波形失真,这是正常的。 总体来说,四阶巴特沃斯滤波器完全可以满足要求。(七)、 电源设计与仿真 一、电源稳态特性仿真分析1、 本电源部分参数如下:参数单位最小值典型值最大值源电压范围V160220280输入频率范围HZ475063工作温度-102760抗电强度KV1.5纹波噪声输出%0.51存储温度-102785电源效率%802、电源的源电压效应仿真结果 本电源的源电压允许波动范围为28%,即输入电压在160V280V的范围内。下面,我们分别给出了电源在输入电压极值点的仿真输出波形及其特征。 输入为160V时的仿真结果 图45 输入电压为160V 时的输出波形如图45所示,输入电压为160V时的输出电压为5.0V,输出相对纹波电压约为27.829mV,纹波系数约为0.51%。 输入为280V时的仿真结果 图46 输入为280V时的输出波形如图46所示,电源在输入为280V时,输出电压升高为5.3V左右,输出纹波系数也发生了变化,约为 0.78%。3、电源负载效应仿真结果 图47 输入电压为220V,负载量为1A时的输出波形 图4

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论