电子琴设计报告_第1页
电子琴设计报告_第2页
电子琴设计报告_第3页
电子琴设计报告_第4页
电子琴设计报告_第5页
已阅读5页,还剩3页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、EDA技术课程设计设计题目:简易电子琴设计专 业:应用物理学学生姓名:赵聶学 号:200 8 1 3 2603 1。日期:20 1 8/3 1摘要随着基于CPLD的EDA技术的发展和应用领域的扩大与深入EDA技术在电子信息、通显 自动控制用计算机等领域的重要性日益突出。作为一个学电子信息专业的鳶蔦囂:筲 断地了解更多的新产品信息,这就更加要求我们对EDA有个全面的认识。本程丿了设计的是间 易电子琴的设计。釆用EDA作为开发工具,VHDL语言为软件描述语言,Qua r t u s I 16. 1作 为程序运行平台,所开发的程序通过调试运行、波形仿真验证,初步实现了设计H标。本程序 使用的硬件描述

2、语言VHDL,可以大大降低了硬件数字系统设计的入门级别,让人感觉就是C 语言的近亲。通过老师的指导和自己的学习完成了预想的功能。1课程设计的目的及任务1.1课程设计的目的巩固和运用所学课程,理论联系实际,提高分析、解决计算机技术实际问题的独立工作能 力,通过对一个简易的八音符电子琴的设汁,进一步加深对讣算机原理以及数字电路应用技术 方面的了解与认识,进一步熟悉数字电路系统设计、制作与调试的方法和步骤。巩固所学课堂 知识,理论联系实际,提高分析、解决讣算机技术实际问题的独立工作能力。为了进一步了解 计算机组成原理与系统结构,深入学习EDA技术,用VHDL语言去控制将会使我们对本专业知 识可以更好

3、地掌握。1.2课程设计的内容设计一个简易电子琴,利用试验箱的脉冲电源产生1, 2, 3, 4, 5,6, 7共7个音阶信号, 当按下一个键时,有相应的乐音发出,同时用指示灯显示乐音,用数码管显示音符。2原理描述2.1音名与频率的关系根据声乐知识,产生音乐的两个因素是音乐频率的持续时间,音乐的十二平均率规定,每 两个八音度之间的频率相差一倍,在两个八音度之间,乂可分为12个半音。每两个半音的频 率比为4。另外,音名A (乐谱中的低音6)的频率为4 4 0H乙音名B到C之间,E到F之间为 半音,其余为全音。山此可以计算出乐谱中从低音1到高音1之间每个音名的频率如表2-1 所示:音名频率(Hz)音名

4、频率(Hz)音名频率(Hz)低音12 6 1.6 3中音1523. 2 5高音110 4 6.5 0低音22 93. 67中音25 8 7 . 3 3高音21174.66低音33 2 9. 63中音36 5 9. 2 5高音31 3 81. 51低音434 9.23中音46 9 8. 46高音41 3 96. 92低音5391.9 9中音5783. 99高音51567.9 8低音6440中音68 80高音61 760低音743 9.88中音79 87. 76高音71 975. 52表2-1简谱中的音名与频率的关系2. 3电路各模块设计本次i殳计乐曲演奏电路结构方框圈如图2-2-1所示:丄FPG

5、Ad2M数控分频器亠_声器 电路&!时钟电路心音调编码电路4数玛管I图2-2电路结构方框图2. 3设计规划根据系统设计要求,系统设计采用自顶向下的设计方法,它山乐曲自动演奏模块、音调发 生模块和数控分频模块三部分组成。3个人负责模块部分我在这次设计中主要做音调发生模块,以下是这个部分的分析: 音调发生模块的作用是产生音阶的分频预置值。当7位发声控制输入信号中的某一位为高电 平时,则对应某一音节的数值将输出(通过对照图4-1各音名对应的分频系数值及初始值) 该数值即为该音阶的分频初始值,分频预置值控制数控分频模块进行分频,山此可得到每个音 阶对应的频率。3. 1可变分频器(1)基准频率的选取各音

6、名所对应的频率可山一频率较高的基准频率进行整数分频得到,所以实际产生各音 名频率为近似的整数值。这是山于音阶频率多为非整数,而分频系数乂不能为小数,故必须将 得到的分频系数四舍五入取整,若基准频率过低,则山于分频系数过小,四舍五入取整后的误 差较大,若基准频率过高,虽然误码差较小,但分频结构将变大,实际的设计应综合考虑两方面 的因素,在尽量减小频率差的前提下取舍合适的基准频率。本次设计选择12MHz作为基准频 率。(2)分频系数A、公用二进制的计数容量N及初始值的选取D1、分频系数的选取首先将1 2MHz的基准频率进行12分频,得到1 MHz的基准频率,分频系数A=lMHz/ 音名频率,此分频

7、系数可III计数器实现。但若不加处理语句,其分频后的信号将不是对称方波。 而占空比很小的方波很难使扬声器有效地发出声响。为得到对称方波,可将分频系数A分解为:分频系数A二分频系数nX2。即先进行分频 系数n的分频,得到不对称方波,然后再2分频得到对称方波。2、公用二进制的计数容量N的选取n分频可山n进制计数器实现。n进制讣数器可用复位法或置位法实现,山于加载初始值b egincas e index i sw hen 0000001 H = to n eOwhen n 0 0 0 00 1 0 nwhen 0000100= toneO=773;code =0001;。=912;code = ”

8、00 1 0; =10 3 6;code when 001 0 00 0 = when 01 0 0000 ” =t oneO =1116; code = n 0100 ” ;“0101;011 0 “; 0 1 11;ooo(r;WaneVslue0 P0副0 codeV(降6H indexB 030(参140 toaeOV20D PS 160.0 ,S 320.0 ns 480.0 ns 640. 0 ns 800.0 ns 960,0 ns 1.12 us 1 28 us 1.44 us 1.6 us 呻:o;12 1:33 0 C_*:00眈CD还珂逆CCOIO 师顽而yOOOKHE丽

9、丽XOIOOOOQiOTODO)曲00000 乂 0血OM : OCCDDIO 仁丽 2 t 打3 * 912 * 1036 * 1116 f 而一丫 1290 丫 1372 * 2叽厂丫 伏 * 912 厂5toneO = 119 7; code = to neO = 1 2 90;co d e t on e 0 tone 0= 2047: co d e =end c a se; end pro c ess;e n d tw o ;3. 3音调发生模块的波形仿真图在此程序中index为自动模块输出的音符数据,经过翻译后将tone。输出到数控分频模块为其 提供分频系数的初始值,cod e为数码

10、管显示的字符数,应用模式5,所以直接输出音符的二 进制值。通过仿真图可以清楚地看到结果。3.4音调发生模块生成的逻辑器件tonel1 index6.Ocode3.0 tone010.,0inst4顶层原理图及仿真4. 1顶层原理图利用EDA工具提供的原理图的方式进行输入,原理图输入方法比较容易掌握,而且直观 方便,根据前儿次设讣的模块及生成的逻辑器件可以很方便地把各个子模块所生成的逻辑器 件组合起来,即将各个子模块之间的输入输出端、子模块与整体电路之间的输入输岀端进行 正确的硬件连接,进行编译、下载,从而实现整体功能。它将手动选择(bm u x)模块音.调发生 模块(to n el)及数控分频

11、器(skfpqq)模块连接起来。4-1 顶层原理图4. 2顶层原理仿真图图4-2顶层文件仿真波形图通过仿真波形图可以看到,输入端rstl为复位端,当其值为1时,输出端均为0,输 入端i nd xl为音调按键端,当按一个音调按键时,数码管上即codel的输出显示出音符数, 输出端sp ks则代表将输入c 1 k先经过12次分频,再经过(预置数终值2048- t one)次 分频,最终再进行二分频处理,而这个信号的频率就是我们需要演奏的音谱的频率,根据频率 的不同,从而能通过喇叭听到不同的声音,实现音乐的播放。5.硬件下载及实现输入程序并且编译无误,并且仿真无误后,便进行引脚锁定及程疗:下载,在进

12、行引脚锁定时必须对照引脚锁定图进行锁定,釆用模式5其引脚锁定图如图5- 1所示::却 016PO23-PO20 P:PlUJdsAOjTAW)35p;oPPffiPO1PXPXPOPO)PO-503啜怨蛙gw n n n n 3 r* pP:O374 :?,:遴議它卿闻 捋 I0372C0MP)肿刍0UT10UT2.CS薦揺霊 曲誠MD1D2加WKD6D7 D 7 6 i. 6 5 I*. 3-图5-1模式5实验电路结构图根据模式5上所对应的引脚号查表可以得出需要锁定的引脚号码 锁定完后如图5-2所示:Node NameDirectionLccationI/O BankVref GroupVe

13、st1 dkl2mhzInputPIN 923B3 N13.3-VLVFH2Q cocfel3OiXputPIN063B3JJ03.3-VLVTT3Q cocfel2OurputPIN-1053B3_NO3.3-VLVTTqQ cocfellOUzputPIN J 033B3.NO3.3-VLVm5M co(fel0OiXputPIM 99!3B3 N03.3-VLVm6index! 6InputPIIJJ13.3-VLVTTI7聆index I 5InputPIM 61BL NO3.3-VLVm8聆index I 4InputPIM 513.3-VLVmgAindex I 3InputPII

14、JJ1blmo3.3-VLVm10index l 2InputPI心13.3-VLVmli聆index I 1InputPIM 213.3-VLVm12莎index I 0InputPIN1Bl JO3.3-VLVm13/ rstlInputPIN JO13.3-VLVril14Q spkoitOi/putPIN 1292B2.N13.3-VLVm15node图5-2引脚锁定图Clk 1 2 m h z 锁定 c 1 ock9 的 12mhz;rstl 键 8 为复位键;indexl 锁定键 1 到键 7; co d e 1 锁定译码管8; sp k out锁定扬声器。结果:当一次按下】,2,

15、 3, 4,5,6, 7,键时,扬声器发出d o , re,mi, fa, sol, 1 a , si七 个音,同时数码管显示相应的音符数:1, 2, 3, 4, 5, 6, 7.6心得体会经过努力,简易电子琴的设计基本上完成了。在整个设计过程中,包括前期中期和后期, 我都有着许多不同的体会:1) 这个设计的基本是接触一门新的语言并加以应用,对于我来说,没有想到的是入手的 速度比我的预料快,在以前编程的基础上,从接触到开始动手编程的时间得到了很大的缩短。 知识的接收速度在很大的程度上决定了动手的时间。2) VHDL的编程与C语言的编程有着本质的不同,然而以往形成的旧编程习惯在VHDL 编程中依然起着很大的作用。一通白通,不是没有道理的。对于学习新的知识并予以应用的 信心,显得更足了。3) VHDL的设计关键是电路逻辑设计,而一个程序的关键是总体设计。对于硬件设计 接触不多的我们清楚这一点也许不无好处。4) 通过这个程序设计让我学会一种新的语言,对数字系统结构也有了更进一步的了解和 认识,对我以后的学习有很大的帮助。希望其他人在看再做类似设计时有所借鉴。通过儿天的课程设计,我对数据库软件EDA技术、VHDL、等系列知识都有了一定的了解。 使用EDA技术开发页面的

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论