版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、1.一个项目的输入输出端口是定义在(a )1-5 acdcd 6-10 ccacaa. 实体中;.b. 结构体中;c. 任何位置;d. 进程中。2. maxplus2中编译vhdl源程序时要求(c )a. 文件名和实体可以不同名;b. 文件名和实体名无关;c. 文件名和实体名要相同;d. 不确定。3. vhdl语言中变量定义的位置是(d )a. 实体中中任何位置;b. 实体中特定位置;c. 结构体中任何位置;d. 结构体中特定位置。4.可以不必声明而直接引用的数据类型是(c )a. std_logic ;b. std_logic_vector;c. bit;d. array。5. maxplu
2、s2不支持的输入方式是(d )a 文本输入;.b. 原理图输入;c. 波形输入;d. 矢量输入。6.大规模可编程器件主要有fpga、cpld两类,下列对fpga结构与工作原理的描述中,正确的是(c )a. fpga全称为复杂可编程逻辑器件;b. fpga是基于乘积项结构的可编程逻辑器件;c. 基于sram的fpga器件,在每次上电后必须进行一次配置;d. 在altera公司生产的器件中,max7000系列属fpga结构。7.下面不属于顺序语句的是(c )a. if语句;b. loop语句;c. process语句;d. case语句。8. vhdl语言是一种结构化设计语言;一个设计实体(电路模
3、块)包括实体与结构体两部分,实体体描述的是(a )a. 器件外部特性;b. 器件的内部功能;c. 器件的综合约束;d. 器件外部特性与内部功能。9. 进程中的信号赋值语句,其信号更新是(c )a. 按顺序完成;b. 比变量更快完成;c. 在进程的最后完成;d. 都不对。10. 嵌套使用if语句,其综合结果可实现:(a )a. 带优先级且条件相与的逻辑电路;b. 条件相或的逻辑电路;c. 三态控制电路;d. 双向控制电路。一、单项选择题:(20分)1 ip核在eda技术和开发中具有十分重要的地位;提供用vhdl等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的ip核为_。a .瘦ip
4、b.固ip c.胖ip d.都不是2综合是eda设计流程的关键步骤,在下面对综合的描述中,_b_是错误的。a. 综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;b. 综合就是将电路的高级语言转化成低级的,可与fpga / cpld的基本结构相映射的网表文件;c.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;d.综合可理解为一种映射过程,并且这种映射关系是唯一的,即综合结果是唯一的。3大规模可编程器件主要有fpga、cpld两类,下列对fpga结构与工作原理的描述中,正确的是_c_。a. fpga全称为复杂可编程逻辑器件; b. fpga是基于乘积项结构的可编程
5、逻辑器件;c.基于sram的fpga器件,在每次上电后必须进行一次配置;d. 在altera公司生产的器件中,max7000系列属fpga结构。4进程中的信号赋值语句,其信号更新是c_。a.按顺序完成;b.比变量更快完成;c.在进程的最后完成;d.都不对。 5vhdl语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述_a_。a. 器件外部特性;b.器件的内部功能;c.器件的综合约束;d.器件外部特性与内部功能。6不完整的if语句,其综合结果可实现_d_。 a. 时序逻辑电路b. 组合逻辑电路 c. 双向电路 d. 三态控制电路7子系统设计优化,主要考虑提高资源
6、利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);指出下列哪些方法是面积优化_。流水线设计资源共享逻辑优化串行化寄存器配平关键路径法a. b. c. d. 8下列标识符中,_b_是不合法的标识符。a. state0 b. 9moon c. not_ack_0 d. signall9 关于vhdl中的数字,请找出以下数字中最大的一个:_。a. 2#1111_1110#b. 8#276#c. 10#170# d. 16#e#e110下列eda软件中,哪一个不具有逻辑综合功能:_。a. max+plus iib. modelsimc. quartus iid. synplify第1页共5
7、页二、eda名词解释,写出下列缩写的中文(或者英文)含义:(14分)1. lpm 2. rtl 3. uart 4. isp 5. ieee6. asic7. lab ieee;institute of electrical and electronics engineers.asic:application specific ibtegrated chip(专用集成芯片)lab;逻辑块rtl:resistor transistor logic 电阻晶体管逻辑(电路) 三、vhdl程序填空:(10分)library ieee; - 8位分频器程序设计use ieee.std_logic_116
8、4.all;use ieee._std-logic-unsigned_.all;entity pulse is port ( clk : in std_logic; d : in _std-logic-vector (7 downto 0); fout : out std_logic);end;architecture one of _pulse_ is signal full : std_logic;begin p_reg: process(clk) _variable_ cnt8 : std_logic_vector(_8_ downto 0); begin if _(clkevent a
9、nd clk=1)_ then if cnt8 = 11111111 then cnt8 _=d _;-当cnt8计数计满时,输入数据d被同步预置给计数器cnt8 full = 1; -同时使溢出标志信号full输出为高电平 else cnt8 _=cnt8+1_;-否则继续作加1计数 full = 0; -且输出溢出标志信号full为低电平 end if; end if; end process p_reg;p_div: process(_full_) variable cnt2 : std_logic; begin if fullevent and full = 1 then cnt2 _
10、=cnt2_; -如果溢出标志信号full为高电平,d触发器输出取反 if cnt2 = 1 then fout = 1; else fout = 0; end if; end if; end process p_div;end; 四、vhdl程序改错:(10分)01 library ieee ;02 use ieee.std_logic_1164.all ;03 use ieee.std_logic_unsigned.all;04 entity led7cnt is05 port ( clr: instd_logic;06 clk : in std_logic;07 led7s : out
11、std_logic_vector(6 downto 0) ;08 end led7cnt; 09 architecture one of led7cnt is10 signal tmp : std_logic_vector(3 downto 0);11 begin 12 cntrocess(clr,clk)13 begin 14 if clr = 1 then15 tmp = 0;16 else if clkevent and clk = 1 then17 tmp led7s led7s led7s led7s led7s led7s led7s led7s led7s led7s led7s
12、 0);34 end case;35 end process;36end one; 在程序中存在两处错误,试指出,并说明理由:提示:在max+plusii 10.2上编译时报出的第一条错误为:errorine 15: file */led7cnt.vhd: type error: type in waveform element must be “std_logic_vector”第 行, 错误:改正:第 行, 错误: 改正:第2页共5页五、vhdl程序设计:(16分)设计一数据选择器mux,其系统模块图和功能表如下图所示。试采用下面三种方式中的两种来描述该数据选择器mux的结构体(a) 用i
13、f语句。 (b) 用case 语句。 (c) 用when else 语句。library ieee;use ieee.std_logic_1164.all; entity mymux is port ( sel : in std_logic_vector(1 downto 0); - 选择信号输入 ain, bin : in std_logic_vector(1 downto 0); - 数据输入 cout : out std_logic_vector(1 downto 0) );end mymux;一、单项选择题:(20分)1大规模可编程器件主要有fpga、cpld两类,下列对cpld结构与
14、工作原理的描述中,正确的是_c_。 a. cpld是基于查找表结构的可编程逻辑器件; b. cpld即是现场可编程逻辑器件的英文简称; c. 早期的cpld是从gal的结构扩展而来; d. 在xilinx公司生产的器件中,xc9500系列属cpld结构2综合是eda设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中,_a_是正确的。a.综合就是将电路的高级语言转化成低级的,可与fpga / cpld的基本结构相映射的网表文件.b.综合是纯软件的转换过程,与器件硬件结构无关;c.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为强制综合。
15、d. 综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的;3 ip核在eda技术和开发中具有十分重要的地位,ip分软ip、固ip、硬ip;下列所描述的ip核中,对于硬ip的正确描述为_a_。a.提供用vhdl等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路;b. 提供设计的最总产品-模型库;c.以网表文件的形式提交用户,完成了综合的功能块;d. 都不是。4 基于eda软件的fpga / cpld设计流程为:原理图/hdl文本输入_a_综合适配_编程下载硬件测试。功能仿真 时序仿真逻辑综合配置引脚锁定 a b. c. d. 5下面对利用原理图
16、输入设计方法进行数字电路系统设计,那一种说法是不正确的_。a. 原理图输入设计方法直观便捷,但不适合完成较大规模的电路系统设计;b. 原理图输入设计方法一般是一种自底向上的设计方法;c.原理图输入设计方法无法对电路进行功能描述; d.原理图输入设计方法也可进行层次化设计。6 在vhdl语言中,下列对进程(process)语句的语句结构及语法规则的描述中,不正确的是_b。a. process为一无限循环语句;敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动。b. 敏感信号参数表中,不一定要列出进程中使用的所有输入信号;c.进程由说明部分、结构体部分、和敏感信号三部分组成;d.当前进程中声明的变量不可用于其他进程。7 嵌套使用if语句,其综合结果可实现_。a.带优先级且条件相与的逻辑电路;b.条件相或的逻辑电路;c.三态控制电路;d.双向控制电路。8 电子系统设计优化,主要考虑提高资源利用率减少功耗-即面积优化,以及提高运行速度-即速度优化;指出下列那种方法不属于速度优化:_。a. 流水线设计b. 串行化c. 关键路径法 d. 寄存器配平9在一个vhdl设计中idata是一个信号,数据类型为int
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 2024年铁路货物运输与专用线运营合同
- 2025年度建筑劳务分包合同标的工程进度与质量监管条款3篇
- 2024年赠与股份合同:父子权益的传递与确认
- 2024庭院园艺景观设计与生态修复工程包工合同范本6篇
- 2024年电子商务平台送货员聘用合同
- 2024年航空航天产业投资合作意向书(国家战略版)3篇
- 2024年社交媒体品牌建设与维护服务条款3篇
- 2024年版权许可合同违约责任认定
- 2024年资产重组合同范本
- 2024物业管理实务客户关系管理与满意度调查合同3篇
- 2023年机械员之机械员专业管理实务题库及参考答案(a卷)
- 班组安全培训试题含完整答案(各地真题)
- 《论语》中的人生智慧与自我管理学习通超星期末考试答案章节答案2024年
- 2022版义务教育物理课程标准
- 期末测试-2024-2025学年语文四年级上册统编版
- 全国国家版图知识竞赛题库及答案(中小学组)
- 初中语文《国学经典》校本教材
- 江西省外经贸融资担保有限公司招聘笔试题库2024
- 2024年国家公务员考试行政职业能力测验真题及答案
- 2024年便携式储能行业分析报告
- 2024-2025学年广东省广州市广州大附中初三3月教学质量监测考试数学试题(理甲卷)版含解析
评论
0/150
提交评论