版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、 电了设计自动化 多路波形发生器 指导老师:刘彪 电气工程学院 电气1003班 杨黎 10291094 2012年10月27日 一、实验题目要求 1、对输入时钟信号进行分频,实现三路互差120 的信号。 2、实现输出信号的占空比控制 clk: 输入时钟信号 reset: 同步复位信号(低电平有效) div: 输入分频控制信号 (注意: 6n 分频 ) ctrl: 占空比控制信号 ctrl=1 时 , 占空比为 1:1 ctrl=2 时 , 占空比为 1:2 ctrl=3 时 , 占空比为 2:1 A,B,C: 三路输出信号 二、设计思路及方案 1、多路发生器的基本原理分频器而且是可调的。因此可
2、以先设计多个子程序分别使得信 号发生器的产生的占空比分别为 1:1,1:2,2:1 ;因此先设 div:integer range 1 to 4; 。通过信号 赋值( tmp,tmp1,tmp2 )赋给输出信号 A,B,C. 通过 n 改变输出频率。定义各个变量。 2、当 resetb=O 时,countQ=O ; 当 resetb=1 时。给脉冲时先定义分频比如果 countQ (6*div-1) 时 countQ= countQ 否则 countQ 为 0。 3、当 cltr=01 时即 H:L=1:1 时 如果 countQ 3*div 时 tmp= 0 ;否者 tmp=1 如果 cou
3、ntQ(6*div-2) 时 tmp1= 1; 否者 tmp1=0; 如果 countQ(4*div-1) 时 tmp2= 0; 否者 tmp2=1; A 等于 tmp; B=tmp2; C=tmp3 ; 同理:当 cltr=10 时即 H:L=1:2 时 当 cltr=11 时即 H:L=2:1 时。 其中;公式推导如下: 当 div=1,cltr=01 时当 div=2, cltr=01 时 countQ6 A:0 0 0 1 1 1; countQ12 A:0 0 0 0 0 0 1 1 1 1 1 1 B:1 1 1 1 0 0 0 0 0 0 1 1 C:0 0 1 1 1 1 1
4、1 0 0 0 0 A; countQ6 时 tmp=0 Else tmp=1 countQ10 ,tmp=1 B:1 1 0 0 0 1; C:0 1 1 1 0 0; A: countQ3 时 tmp=0 Else tmp=1 B: countQ4 时 tmp=1 B: Else tmp=0Else tmp=0 C:countQ3 时 tmp=0 C: countQ7 时 tmp=0 Else tmp=1Else tmp=1 同理: cltr=10 , cltr=11. 当 cltr=01 时 if(countQ 3*div) then tmp= 0;else tmp=1; if(coun
5、tQ(6*div-2) then tmp1= 1;else tmp1=0: if(countQ(4*div-1) then tmp2= 0;else tmp2=1; 当 cltr=10 时 if(countQ 4*div) then tmp= 0;else tmp=1; if(countQ 2*div ) then tmp1= 1;else tmp1=0; if(countQ(4*div-1) then tmp2= O; else tmp2=1; 当 cltr=11 时 if(countQ 2*div) then tmp= O;else tmp=1; if(countQ(4*div-1) th
6、en tmp1= 1;else tmp1=0; if(countQ 4*div ) then tmp2= 1;else tmp2=0; 将信号tmp赋给A ; tmpl赋给B ; tmp2赋给 C ; 三、流程图 Reset=0? 四、实验程序及其波形 libraryieee; use ieee.std_logic_1164.all; useieee.std_logic_arith.all; useieee.std_logic_ un sig ned.all; en tityfashe ngq is port ( clk:i nstdo gic; aclk:outstdo gic; bclk:
7、outstdo gic; cclk:outstd_logic; resetb:instd_logic; div:instd_logic_vector(1 downto 0); ctrl:instd_logic_vector(1 downto 0) ); endfangshengq; architecture behave of fangshengq is signaltmp:std_logic; signal tmp1:std_logic; signal tmp2:std_logic; signal cnt0:integer range 0 to 5:=0; signal cnt1:integ
8、er range 0 to 11:=0; signal cnt2:integer range 0 to 17:=0; signal cnt3:integer range 0 to 23:=0; begin process(clk,resetb,div,ctrl) begin if clkevent and clk=1 then ifresetb=0 then cnt0=0; cnt1=0; cnt2=0; cnt3=0; tmp=0; tmp1=0; tmp2=0; elsifresetb=1 then cnt0=cnt0+1; cnt1=cnt1+1; cnt2=cnt2+1; cnt3 c
9、ase div is when 00= case cnt0 is when 0=tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=1;tmp2=0;cnt0 case cnt1 is when 0=tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=0;tmp2tmp=0;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=
10、0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=1;tmp2tmp=1;tmp1=1;tmp2=0;cnt1 case cnt2 is when 0=tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=0;tmp2tmp=0;tmp1=0;tmp2tmp=0;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=
11、0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=1;tmp2tmp=1;tmp1=1;tmp2tmp=1;tmp1=1;tmp2=0;cnt2 case cnt3 is when 0=tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=0;tmp2tmp=0;tmp1=
12、0;tmp2tmp=0;tmp1=0;tmp2tmp=0;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=1;tmp2tmp=1;tmp1=1;tmp2tmp=1;tmp1=1;tmp2tmp=1;tmp1=1;tmp2=0;cnt3 case div is when 00= case cnt0 is when 0=tmp=0;t
13、mp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=0;tmp2tmp=0;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=0;tmp2=0;cnt0 case cnt1 is when 0=tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=0;tmp2tmp=0;tmp1=0;tmp2tmp=0;tmp1=0;tmp2tmp=0;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;t
14、mp1=0;tmp2tmp=1;tmp1=0;tmp2=0;cnt1 case cnt2 is when 0=tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=0;tmp2tmp=0;tmp1=0;tmp2tmp=0;tmp1=0;tmp2tmp=0;tmp1=0;tmp2tmp=0;tmp1=0;tmp2tmp=0;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;t
15、mp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=0;tmp2=0;cnt2 case cnt3 is when 0=tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=0;tmp2tmp=0;tmp1=0;tmp2tmp=0;tmp1=0;tmp2tmp=0;tmp1=0;tmp2tmp=0;t
16、mp1=0;tmp2tmp=0;tmp1=0;tmp2tmp=0;tmp1=0;tmp2tmp=0;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=0;tmp2=0;cnt3 case div is when 00= case cnt0 is when 0=tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=1;tmp1=0;tmp2tmp
17、=1;tmp1=0;tmp2tmp=1;tmp1=1;tmp2tmp=1;tmp1=1;tmp2=0;cnt0 case cnt1 is when 0=tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=1;tmp2tmp=1;tmp1=1;tmp2tmp=1;tmp1=1;tmp2tmp=1;tmp1=1;tmp2=0;cnt1 case cnt2 is
18、 when 0=tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=1;tmp2tmp=1;tmp1=1;tmp2tmp=1;tmp1=1;tmp2tmp=1;tmp1=1;tmp2tmp=1;tmp1=1;tmp2tmp
19、=1;tmp1=1;tmp2=0;cnt2 case cnt3 is when 0=tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=0;tmp1=1;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp=1;tmp1=0;tmp2tmp
20、=1;tmp1=0;tmp2tmp=1;tmp1=1;tmp2tmp=1;tmp1=1;tmp2tmp=1;tmp1=1;tmp2tmp=1;tmp1=1;tmp2tmp=1;tmp1=1;tmp2tmp=1;tmp1=1;tmp2tmp=1;tmp1=1;tmp2tmp=1;tmp1=1;tmp2=0;cnt3tmp=0;tmp1=0;tmp2=0; end case; end if; end if; end process; aclk=tmp; bclk=tmp1; cclk=tmp2; end behave; 实验波形如下图所示: 【1】 i.J SuMw ElNstn Flow 名mn
21、wy 刖FliwMnfi 1=Smd*car 逐暫 Smu Rfiic* Wf*-rlo*ii _Nl Umq? ip IZ5 r勺卜笛nuf EhJ. Pm f-iTL- ifrLOVLn/ui/uirjirjvLwuwrimrjifJLr-wr-mAfmnnrjif S QL I OL hUl ItU i: J fSk Li 厂 CD - r r i”飞ji iT商 J_l _JLrnn i | _jl iiiiiir J_ i ni一irnrn dr Te 想 I KFrfeBi-i-i-ifiii-i-hfiaa-i-iifia ai-raad-i-ciad-i-HiiJ-i -iiiiiiBBi-i-i-BBB-i-kiiii-i-iiHaj-ri! C 1 dl卫強 a-d fitrtu.iui*wi ttii.iitl
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 二零二五年度环保型PHC管桩生产与施工一体化合同2篇
- 二零二五版汽车售后服务合同协议2篇
- 二零二五版医疗器械样品采购及临床试验合同3篇
- 二零二五年度特种玻璃进出口贸易合同样本2篇
- 基于云计算的医疗信息平台建设合同(2025年度)3篇
- 二零二五版CNG车辆进出口贸易合同2篇
- 二零二五年度豪华邮轮船员聘用及综合服务合同3篇
- 二零二五版家庭护理服务与保险产品对接合同2篇
- 二零二五年电子商务产业园杭州电子商务法律风险防范合同3篇
- 二零二五年防水材料研发与市场拓展合同3篇
- GB/T 18476-2001流体输送用聚烯烃管材耐裂纹扩展的测定切口管材裂纹慢速增长的试验方法(切口试验)
- GA 1551.5-2019石油石化系统治安反恐防范要求第5部分:运输企业
- 拘留所教育课件02
- 冲压生产的品质保障
- 《肾脏的结构和功能》课件
- 2023年湖南联通校园招聘笔试题库及答案解析
- 上海市徐汇区、金山区、松江区2023届高一上数学期末统考试题含解析
- 护士事业单位工作人员年度考核登记表
- 天津市新版就业、劳动合同登记名册
- 产科操作技术规范范本
- 人教版八年级上册地理全册单元测试卷(含期中期末试卷及答案)
评论
0/150
提交评论