版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、精选文库 EDA 交通灯课程设计 姓名: XXX 学号: * 14 专业: * 学院:电气与信息工程学院 1系统功能设计要求 1. 东西各设有一个绿、黄、红指示灯;一个 2位7段数码管 (1) 南北和东西方向各有一组绿,黄,红灯,各自的持续时间分别 为 20s, 5s, 25s; (2) 当有特殊情况时,两个方向均为红灯,计时暂停,当特殊情况 结束后,控制器恢复原来状态,继续正常工作。 (3) 用两组数码管,以倒计时方式显示两个方向允许通行或禁止通 行的剩余时间。 3.能实现正常的倒计时显示功能。能实现总体清理功能,计数器由 初始状态开始计数,对应状态的指示灯亮。 2设计原理 2.1交通灯控制
2、器的状态转换 根据论文题目要求,将红绿灯的状态转换列成如下表 工作状态 南北方向 东西方向 so 绿灯壳 红灯気 S1 (5S) 红灯亮 S2 (20s) 红灯壳 绿灯亮 S3 红灯壳 上表为交通灯控制器的状态转换表 2.2设计方案 显示模块 43 倒计时模块 L丿 * 控制模块 1、显示模块由两部分组成,一是由七段数码管组成的倒计时显示器, 每个方向两个七段数码管;二是由发光二极管代替的交通灯,每个方 向3个发光二极管。 2、每个方向有一组2位倒计时器模块,用以显示该方向交通灯剩余 的点亮时间。 3、控制模块是交通灯的核心,主要控制交通灯按工作顺序自动变换, 同时控制倒计时模块工作,每当倒计
3、时回零时,控制模块接收到一个 计时信号,从而控制交通灯进入下一个工作状态。 2.2 LED动态显示与频率 由于交通灯需要使用2位7段LED数码管指示通行剩余时间,故采 用LED动态扫描方式显示当前时间。 频率设定CLKIk对应的频率为1024hz 3电路符号 交通控制器的电路符号如图1.3所示。其中,CLK1K为系统时钟信 号输入端,SN为禁止通行信号输入通行信号输入端,Iight0为东西红 灯信号输出端,lighti为东西黄灯信号输出端,Iight2为东西绿灯信 号输出端,light3为南北红灯信号输出端,light4为南北黄灯信号输 出端,light5为南北绿灯信号输出端,led1、Ied
4、2、Ied3、Ied4、为数 码管地址选择信号输出端。 4设计方法 采用文本编辑法,既采用vhdI语言描述交通控制器,代码如下: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_ un sig ned.all; en tity traffic IS port (clk1k,SN:in std_logic; led1, led2, led3, led4 :out std_logic_vector (3 dow nto 0); -红绿黄灯 -状态 -显示管显示时间用 light:out std_logic_vector (
5、5 dow nto 0); end traffic; architecture traffic1 of traffic IS sig nal S:std_logic_vector (1 dow nto 0); sig nal DXT:std_logic_vector(7 dow nto 0):=X01; -东西方向时间 sig nal NBX:std_logic_vector(7 dow nto 0):=X01; -南北方向时间 sig nal ART,AGT,A YT,BRT,BGT,B YT: std_logic_vector(7 down to0);-红绿黄灯信号 sig nal SL:
6、 std_logic; sig nal tem p: in teger range 0 to 1023;-产生 1s 计数器时计数 sig nal clk: std_logic; begin sL=1; -红绿灯时间设定 ART=00100101; AGT=00100000; AY Tv二00000100; BRT=00100101; BGT=00100000; BY Tv二00000100; p rocess(clk1k) -选频率为1024HZ begin if (clk1keve nt and clk1k=1) then if tem p=1023 then tempv=0; clkv=
7、1; else tempv 二te mp+1; clkv=O; end if; end if; end pr ocess; -进程结束 p rocess(clk,DXT,NBX) -状态转换进程 begin if clkeve nt and clk =1 the n if(DXT 二00000001)0R (NBX = 00000001)then S=S+1; else S=S; end if; -状态转换结束 end if; end pr ocess; process (clk,SN,S) -倒计时模块 beg in if SN = 1 the n DXT=DXT; NBXDXT=ART;
8、NBX NBXDXT=AGT; NBXDXTNULL; end case; end if; if DXT/二00000000 then if DXT(3 dow nto 0)= 0000 then DXT(3 dow nto 0)=1001; DXT(7 dow nto 4)v=DXT(7 dow nto 4)-1; else DXT(3 dow nto 0)=DXT(3 dow nto 0)-1; DXT(7 dow nto 4)=DXT(7 dow nto 4); end if; end if; if NBX/二00000000 then if NBX(3 dow nto 0)=0000
9、then NBX(3 dow nto 0)=1001; NBX(7 dow nto 4)=NBX(7 dow nto 4)-1; else NBX(3 dow nto 0)led1=NBX(3 dow nto 0); led2NULL; end case; if SN =1 then lightv二001001; else case S IS whe n 00=light light light light NULL; end case; end if; end pr ocess; end traffici; 5软件仿真 Value. I LI 2 iO,rns 4 Ots 5 Onis 6
10、Orr 0 丽A elk Ik 0 毛 Iad1 H 9 毛审Iad2 H 1 &应3 H 4 Ied4 H 2 吃丿light H 11 抄 lemp H 34A DXT H24 期NBX H 19 d? S H 0 抄 94|dataa1.O H 0 抄 der|dataa1.O HO 6结论 Name. 0 X 9 0 X 2 X 1 0 X 5 X A 3 f 2 X 1 X 0 X 9 0 2I 11 00 XX 24 X 23 f 22 X 2120 X 19 00 X H X w I17 X 1S X 15 1 14 D G 0 时序仿真图 数码管动态显示,需要通过位选、段选控制
11、。通过查询手册,将 2位位选信号接到试验箱数码管位选端,将 7位段选信号连接到试验 箱数码管的段选控制端。当送人合适的控制信号后,数码管即可正常 工作。 通过下载仿真后,能得到预期的实验结果。通过拨动(开关控制) 为高电平,能实现交通灯紧急状态(红灯全亮)。若 SN为低电平, 数码管和主南北的红绿灯能有序亮灭。 7收获及感想 短短一个星期的EDA课程设计很块就结束了,虽然在之前的学 习过程中还存在着没有弄懂的问题, 但是通过这次设计,进一步加深 了对EDA的了解,让我对它有了更加浓厚的兴趣。 在拿到题目后,首先进行了单元模块的设计,将每一个单元模块 设计完成后再经行仿真,在波形仿真的过程中,同样遇到了困难,有 的时候,由于END TIME的时间修改的太大,会出现仿真时间过长 的问题,这个时候应该要把 END TIME的时间相应的改小,或是修 改系统时钟的频率。 在设计的过程中还应该多联系下实际情况, 要了解实际情况下交
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 故宫模板课件教学课件
- 街心广场课件教学课件
- 2024年度批量货物搬运与运输合同
- 2024年度某大型工程建设项目施工合同
- 2024年人工智能研究员全职合同
- 2024国际许可合同的格式国际许可合同的种类
- 2024年广告牌更新改造施工合同
- 2024规范的办公室装修合同范本
- 2024店面租房合同范本下载
- 2024年店面租赁升级协议
- 旅游景区公共信息导向系统规范与设计(旅游)
- 过程流程图,控制计划,PFMEA培训
- 六年级语文 六年级班家长会
- 内科学(肾脏-内分泌-血液)知到章节答案智慧树2023年温州医科大学
- 地理教育测量与评价
- 2023上海车展展前报告
- 事业单位奖励审批表主要事迹
- 六年级劳动与技术上册教案
- 马王堆导引术独立彩图版
- GB/T 26572-2011电子电气产品中限用物质的限量要求
- 公安警察局工作总结汇报ppt模板
评论
0/150
提交评论