第3章作业答案_第1页
第3章作业答案_第2页
第3章作业答案_第3页
第3章作业答案_第4页
第3章作业答案_第5页
已阅读5页,还剩2页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、数字电子技术作业答案班级_ _ 学号_ _ 姓名_第3章 组合逻辑电路1分析图1所示逻辑电路,已知S1S0为功能控制输入,AB为输入信号,L为输出,求电路所具有的功能。图1图2解:(1)(2)真值表:(3)当S1S0=00和S1S0=11时,该电路实现两输入或门,当S1S0=01时,该电路实现两输入或非门,当S1S0=10时,该电路实现两输入与非门。2由与非门构成的某表决电路如图2所示。其中A、B、C、D表示4个人,L=1时表示决议通过。(1)试分析电路,说明决议通过的情况有几种。(2)分析A、B、C、D四个人中,谁的权利最大。解:(1)(2)ABCDLABCDL000000010010001

2、10100010101100111000100111000100110101011110011011110111100010111(3)根据真值表可知,四个人当中C的权利最大。 3某组合逻辑电路的输入A,B,C和输出F的波形如图3所示。试列出该电路的真值表,写出逻辑函数表达式,并用最少的与非门实现。图3解:真值表略。由波形图直接写出逻辑函数表达式:化简并变换成最简与非式。画出逻辑图如图解3所示。图解34设计一个三变量的判奇电路,当有奇数个变量为1时,输出为1,否则输出为0,要求用最少的门电路实现此逻辑电路。解:三变量的判奇电路真值表如下表所示:ABCF000000110101011010011

3、01011001111用异或门实现电路最简单,逻辑图如图解4所示。图解45某工厂有设备开关A、B、C。按照操作规程,开关B只有在开关A接通时才允许接通;开关C只有在开关B接通时才允许接通。违反这一操作规程,则报警电路发出报警信号。设计一个由与非门组成的能实现这一功能的报警控制电路。解:(1)设开关A、B、C的状态接通为1,断开为0;F为输出,发报警信号为1,不发报警信号为0。(2)列真值表ABCF00000011010101111000101111001110(3)写出逻辑表达式并化简(4)画出逻辑图。图解56设计一个监视交通信号灯工作状态的逻辑电路。正常情况下,红、黄、绿灯只有一个亮,否则视

4、为故障状态,发出报警信号,提醒有关人员修理。要求:(1)用门电路实现;(2)用3-8线译码器实现;(3)用4选1数据选择器实现。 解:(1)用门电路实现逻辑抽象输入变量:R、A、G,红、黄、绿灯;灯亮为1,不亮为0。输出变量:Z-故障信号,正常工作Z为0,发生故障Z为1。真值表R A GZ0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 110010111列出真值表写出函数式并化简经卡诺图化简得:画出电路图(2)用3-8线译码器实现标准与或式 化成与非-与非式 设R=A2、A=A1、G=A0 则画连线图(3)用4选1数据选择器实现标准与或式 S =1时 4选1 确定

5、输入变量和地址码的对应关系令A =A1,G = A0 则: 画连线图7用红、黄、绿三个指示灯表示三台设备的工作情况:绿灯亮表示全部正常;红灯亮表示有一台不正常;黄灯亮表示两台不正常;红、黄灯全亮表示三台都不正常。列出控制电路真值表,并选用合适的中规模集成电路来实现。解:(1)根据题意,列出真值表由题意可知,令输入为A、B、C表示三台设备的工作情况,“1”表示正常,“0”表示不正常,令输出为R,Y,G表示红、黄、绿三个批示灯的 状态,“1”表示亮,“0”表示灭。 (2)由真值表列出逻辑函数表达式为:(3)根据逻辑函数表达式,选用译码器和与非门实现,画出逻辑电路图。8分别用74LS153(4选1数据选择器)和74LS152(8选1)实现函数F=AB+BC+AC。解: (1)用4选1数据选择器来设计 标准与或式 数据选择器 确定输入变量和地址码的对应关系令 A1 = A, A0 = B 则D0 = 0 D1 =D2 = C D3 = 1 画连线图 (2)用

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论