cmos集成电路大作业-VHDL设计的数字钟_第1页
cmos集成电路大作业-VHDL设计的数字钟_第2页
cmos集成电路大作业-VHDL设计的数字钟_第3页
cmos集成电路大作业-VHDL设计的数字钟_第4页
cmos集成电路大作业-VHDL设计的数字钟_第5页
已阅读5页,还剩6页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、FPGA课程设计实验报告基于FPGA的VHDL数字钟设计 一、功能介绍 1在七段数码管上具有时-分-秒的依次显示。 2时、分、秒的个位记满十向高位进一,分、秒的十位记满五向高位进一,小时按24进制计数,分、秒按60进制计数。 3整点报时,当计数到整点时扬声器发出响声。 4时间设置:可以通过按键手动调节秒和分的数值。此功能中可通过按键实现整体清零和暂停的功能。 5LED灯循环显示:在时钟正常计数下,LED灯被依次循环点亮。 待增加功能:1. 实现手动调节闹铃时间,在制定时间使扬声器发声。2. 实现微妙的快速计数功能,可实现暂停、保存当前时间、继续计数的功能。二、设计方案 本文数字钟的设计采用了自

2、顶向下分模块的设计。底层是实现各功能的模块,各模块由vhdl语言编程实现:顶层采用原理图形式调用。其中底层模块包括秒、分、时三个计数器模块、按键去抖动模块、按键控制模块、时钟分频模块、数码管显示模块共7个模块。设计框图如下: 图一 数字钟系统设计框图由图1可以清晰的看到数字钟系统设计中各功能模块间连接关系。系统时钟50MHZ经过分频后产生1秒的时钟信号,1秒的时钟信号作为秒计数模块的输入信号,秒计数模块产生的进位信号作为分计数模块的输入信号,分计数模块的进位信号作为时计数模块的输入信号。秒计数模块、分计数模块、时计数模块的计数输出分别送到显示模块。由于设计中要使用按键进行调节时间,而按键的动作

3、过程中存在产生得脉冲的不稳定问题,所以就牵扯到按键去抖动的问题,对此系统中设置了按键去抖动模块,按键去抖动模块产生稳定的脉冲信号送入按键控制模块,按键控制模块根据按键的动作对秒、分、时进行调节。 图二 数字钟的顶层设计原理图三、设计过程由数字钟的顶层设计原理图可知:系统的外部输入即为系统的时钟信号CLK =50MHZ,系统的外部输出有蜂鸣器信号buzzer,LED显示信号LED3.1和shan(与按键去抖动模块的o3相连),数码管显示信号xianshi7.0,数码管位选信号xuanze7.0。 下面将对内部功能模块进行详细说明;1.分频模块pinlv对系统的时钟50MHZ进行分频,设置不同长度

4、的计数值,当系统时钟clk有变化时计数器开始计数,当计数到某个值时输出一个信号,计数值不同输出信号的周期也就不同,从而实现了对系统时钟进行不同的分频,产生不同频率的信号。由VHDL语言生成的模块图和程序说明如下: 图三 分频模块 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity pinlv isport( clk:in std_logic;-系统时钟输入端口 clk2ms:out std_logic; clk500ms:out std_logic; clk1s:out std_logi

5、c);-各频率信号的输出端口 end; architecture beh of pinlv isbeginp1:process(clk) 进程p1variable count1:integer range 0 to ;begin if(clkevent and clk=1)then count1:=count1+1;-在clk 的上升沿计数 if count1= then clk1s=0; elsif count1= then clk1s=1; else count1:=0;-产生周期为1s的时钟信号 end if; end if; end process p1;-结束进程p1 p2:proc

6、ess(clk)进程p2 variable count2:integer range 0 to 99999; begin if(clkevent and clk=1)then count2:=count2+1;-在clk上升沿计数 if count2=49999 then clk2ms=0;elsif count2=99999 then clk2ms=1;-产生周期为2ms的扫描信号 end if; end if; end process p2;-结束进程p2 p3:process(clk)进程p3 variable count3:integer range 0 to ; begin if(c

7、lkevent and clk=1)then count3:=count3+1;在clk上升沿计数 if count3= then clk500ms=0; elsif count3= then clk500ms then o1=0; else o1 then o2=0; else o2 then o3=0; else o3 then o4=0; else o4=1; -延时0.5s end if;cant1:=cant1+1; -加一计数cant2:=cant2+1; -加一计数cant3:=cant3+1; -加一计数cant4:=cant4+1; -加一计数 end if;end proc

8、ess;end beh; -设置计数初值在quartus II开发环境中进行仿真验证 图五 按键去抖动仿真效果图由于0.5s太长,在本仿真中设置了很小的一个量10clk,从图中可以看出基本实现了按键去抖动的效果。无论按键怎么抖动,输出总是保持稳态10clk,当下一个触发来了以后,就可以触发单稳态。3,按键控制模块self1本设计中使用了两个按键进行对时钟的暂停和调秒操作,当ok2按下时时钟暂停,再按ok3则进行秒个位的加一计数,每按一次进行加一处理。当调节好时间后,在按ok2键重新开始计数。由VHDL语言生成的模块图和程序说明如下: 图六 按键控制模块 library ieee;use iee

9、e.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity self1 isport(c:in std_logic;ok2:in std_logic;ok3:in std_logic;ck:out std_logic);end ;-设置端口architecture bea of self1 issignal m:std_logic;signal t:std_logic;beginp1:process(ok2,ok3,c)ok2和ok3触发进程beginif ok2event and ok2=0 then m=not m;-由ok2 的

10、动作产生m的电平信号 end if; if m=1 then ck=not(ok3);-把按键ok3的脉冲信号给输出 else ck=c;-否则把正常计数时钟给输出 end if;end process p1;-结束进程end bea;4,秒、分六十进制模块cantsixty本设中秒、分的六十进制是由个位的十进制和十位的六进制进行组合实现的。当个位记到9时自动向高位进一,同时个位自动清零。当十位记到5并且个位记到9时,自动产生一个进位脉冲,同时个位和十位分别从零开始重新计数。由VHDL语言生成的模块图和程序说明如下: 图七 六十进制模块library ieee;use ieee.std_log

11、ic_1164.all;use ieee.std_logic_unsigned.all;entity cantsixty isport(clk:in std_logic; reset:in std_logic; out1:out std_logic_vector(3 downto 0); out2:out std_logic_vector(3 downto 0); c:out std_logic); end;architecture beh of cantsixty issignal ss1,ss2:std_logic_vector( 3 downto 0);beginp1:process(c

12、lk,reset) beginif(reset=0)then ss1=0000;ss2=0000; elsif(clkevent and clk=1)then if ss1=1001 and ss2=0101 then c=1;-当计数到59时产生进位信号 else c=0;-否则不产生 end if; if ss1=1001 then ss1=0000; if ss2=0101 then ss2=0000; else ss2=ss2+1; end if; else ss1=ss1+1;-计数过程 end if;end if;end process p1;-结束进程out1=ss1;out2=

13、ss2;-把信号送输出end beh;在quartus II开发环境中进行仿真验证: 图八 六十进制波形仿真图由上图可见,当1s的时钟信号加入时,个位out1从0到9不断循环,而且当个位out1记到9时产生一个进位信号 使十位out2加一,以此类推就实现了六十进制计数。基本达到了正确计数的理想效果。5.时计数模块hourtwenty时计数模块是二十四进制相对复杂一点,因为当十位0或着1时个位需要记到9并产生进位信号,当十位是2时,个位记到3时,就全部从零开始重新计数。即是在十位为不同值时个位两种计数过程。由VHDL语言生成的模块图和程序说明如下: 图九 二十四进制波形仿真图 在quartus

14、II开发环境中进行仿真验证: 图十 二十四进制波形仿真图 由上图看出十位为0或1时,个位记到9时,十位才进行加一计数,但当十位为2时,个位记到3时,十位变成了0,个位又从0重新开始计数,这样就实现了二十四进制的计数。从图形的显示波形可知,设计基本达到了正确计数的功能。6.秒、分、时组合后的仿真验证 把设计的秒、分、时模块连接起来,再通过仿真验证,各模块间的进位是否正确连接后的原理图如下 图十一 秒、分、时组合后原理图在quartus II开发环境中进行仿真验证: 图十二 组合后波形仿真图由于需要设置很长的仿真时间,才能完全观察到进位信号,本次仿真只截取了一小部分,观察不到分模块向时模块的进位。

15、由仿真结果图可以看到,秒模块向分钟模块的正常进位,以及分模块的正常计数,所以各模块连接后的计数状态也符合设计的要求,基本实现了正常计数。7 .数码管显示模块 本模块中包含数码管的段选和位选设计,Led灯循环设计,以及整点报时的设计。模块的输入信号有数码管扫描频率clk2ms,秒、分、时各模块的个位和十位输入,以及由分模块向时模块产生的进位脉冲信号。由VHDL语言生成的模块图和程序说明如下: 图十三 数码管显示原理图 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity qudong isp

16、ort(s1,s2,m1,m2,h1,h2:in std_logic_vector(3 downto 0); clk2ms: in std_logic; xiang:in std_logic; led:out std_logic_vector(3 downto 1); buzzer:out std_logic; xianshi:out std_logic_vector(7 downto 0); xuanze:out std_logic_vector( 7 downto 0); end qudong; architecture behav of qudong issignal sel:std_l

17、ogic_vector( 2 downto 0);signal A:std_logic_vector( 3 downto 0);signal t:std_logic_vector ( 11 downto 0);signal f:std_logic_vector(1 downto 0);signal count1:std_logic_vector(1 downto 0);beginp1:process(clk2ms)beginif clk2msevent and clk2ms=1 then sel=sel+1;t=t+1; if t=0 then t0);end if;end if;f=t(11

18、)&t(10);if f=01 then led(3)=0;else led(3)=1;end if;if f=10 then led(2)=0;else led(2)=1;end if;if f=11 then led(1)=0; else led(1)xuanze=; Axuanze=; Axuanze=; Axuanze=; Axuanze=; Axuanze=; Axuanze=; Axuanze=; Anull;end case;end process p2;p3:process(A)begincase A iswhen 0000 = xianshi xianshi xianshi xianshi xianshi xianshi xianshi xianshi xianshi xianshi xianshi xianshi null; -数码管的段选设计end case;end process p3;P4:process(xiang)begin if xiang=1 then buzzer=0; -当进位信号xiang为1时就把低电平给buzzer让蜂鸣器响 else buzzer=1; -否则把高电平给b

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论