FPGA实现串行接口 RS232_第1页
FPGA实现串行接口 RS232_第2页
FPGA实现串行接口 RS232_第3页
FPGA实现串行接口 RS232_第4页
FPGA实现串行接口 RS232_第5页
已阅读5页,还剩3页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、.FPGA实现串行接口 RS232串行接口(RS-232)串行接口是连接FPGA和PC机的一种简单方式。这个项目向大家展示了如果使用FPGA来创建RS-232收发器。 整个项目包括5个部分1 RS232是怎样工作的2 如何产生需要的波特率3 发送模块4 接收模块5 应用实例RS-232接口是怎样工作的作为标准设备,大多数的计算机都有1到2个RS-232串口。特性RS-232有下列特性: 使用9针的DB-9插头(旧式计算机使用25针的DB-25插头). 允许全双工的双向通讯(也就是说计算机可以在接收数据的同时发送数据). 最大可支持的传输速率为10KBytes/s.DB-9插头你可能已经在你的计

2、算机背后见到过这种插头 它一共有9个引脚,但是最重要的3个引脚是: 引脚2: RxD (接收数据). 引脚3: TxD (发送数据). 引脚5: GND (地).仅使用3跟电缆,你就可以发送和接收数据.串行通讯数据以每次一位的方式传输;每条线用来传输一个方向的数据。由于计算机通常至少需要若干位数据,因此数据在发送之前先“串行化”。通常是以8位数据为1组的。 。先发送最低有效位,最后发送最高有效位。异步通讯RS-232使用异步通讯协议。也就是说数据的传输没有时钟信号。接收端必须有某种方式,使之与接收数据同步。对于RS-232来说,是这样处理的:6 串行线缆的两端事先约定好串行传输的参数(传输速度

3、、传输格式等)7 当没有数据传输的时候,发送端向数据线上发送18 每传输一个字节之前,发送端先发送一个0来表示传输已经开始。这样接收端便可以知道有数据到来了。9 开始传输后,数据以约定的速度和格式传输,所以接收端可以与之同步10 每次传输完成一个字节之后,都在其后发送一个停止位(1)让我们来看看0x55是如何传输的: 0x55的二进制表示为:01010101。但是由于先发送的是最低有效位,所以发送序列是这样的: 1-0-1-0-1-0-1-0.下面是另外一个例子 : 传输的数据为0xC4,你能看出来吗?从图中很难看出来所传输的数据,这也说明了事先知道传输的速率对于接收端有多么重要。数据传输可以

4、多快?数据的传输速度是用波特来描述的,亦即每秒钟传输的数据位,例如1000波特表示每秒钟传输100比特的数据, 或者说每个数据位持续1毫秒。波特率不是随意的,必须服从一定的标准,如果希望设计123456波特的RS-232接口,对不起,你很不幸运,这是不行的。常用的串行传输速率值包括以下几种: 1200 波特. 9600 波特. 38400 波特. 115200 波特 (通常情况下是你可以使用的最高速度).在115200 波特传输速度下, 每位数据持续 (1/115200) = 8.7s. 如果传输8位数据,共持续 8 x 8.7s = 69s。但是每个字节的传输又要求额外的“开始位”和“停止位

5、”,所以实际上需要花费10 x 8.7s = 87s的时间。最大的有效数据传输率只能达到 11.5KBytes每秒。在115200 波特传输速度下,一些使用了不好的芯片的计算机要求一个长的停止位(1.5或2位数据的长度),这使得最大传输速度降到大约10.5KBytes每秒物理层电缆上的信号使用正负电压的机制: 1 用 -10V 的电压表示(或者在 -5V 与 -15V之间的电压). 0 用 +10V 的电压表示(或者在 5V 与 15V之间的电压).所以没有数据传输的电缆上的电压应该为-10V或-5到-10之间的某个电压。波特率发生器这里我们使用串行连接的最大速度115200波特,其他较慢的波

6、特也很容易由此产生。FPGA通常运行在远高于115200Hz的时钟频率上(对于今天的标准的来说RS-232真是太慢了),这就意味着我们需要用一个较高的时钟来分频产生尽量接近于115200Hz的时钟信号。从1.8432MHz的时钟产生通常RS-232芯片使用1.8432MHz的时钟,以为这个时钟很容易产生标准的波特率,所以我们假设已经拥有了一个这样的时钟源。只需要将 1.8432MHz 16分频便可得到 115200Hz的时钟,多方便啊!reg 3:0 BaudDivCnt;always (posedge clk) BaudDivCnt =2000000) printf(*); else pri

7、ntf( );acc %= 2000000;这段代码会精确的以平均每 17.361111111. 个时钟间隔打印出一个*。为了从FPGA得到同样的效果,考虑到串行接口可以容忍一定的波特率误差,所以即使我们使用17.3或者17.4这样的分频比也是没有关系的。FPGA波特率发生器我们希望2000000是2的整数幂,但很可惜,它不是。所以我们改变分频比,2000000/115200 约等于 1024/59 = 17.356. 这跟我们要求的分频比很接近,并且使得在FPGA上实现起来相当有效。/10 位的累加器 (9:0), 1位进位输出 (10)reg 10:0 acc; /一共11位!always

8、 (posedge clk)acc = acc9:0 + 59; /我们使用上一次结果的低10位,但是保留11位结果wire BaudTick = acc10; /第11位作为进位输出使用 2MHz 时钟, BaudTick 为 115234 波特, 跟理想的115200波特存在 0.03% 的误差。参数化的FPGA波特率发生器前面的设计我们使用的是10位的累加器,如果时钟频率提高的话,需要更多的位数。下面是一个使用 25MHz 时钟和 16 位累加器的设计,该设计是参数化的,所以很容易根据具体情况修改。parameter ClkFrequency = 25000000; / 25MHzpar

9、ameter Baud = 115200;parameter BaudGeneratorAccWidth = 16;parameter BaudGeneratorInc = (BaudBaudGeneratorAccWidth)/ClkFrequency;reg BaudGeneratorAccWidth:0 BaudGeneratorAcc;always (posedge clk)BaudGeneratorAcc = BaudGeneratorAccBaudGeneratorAccWidth-1:0 + BaudGeneratorInc;wire BaudTick = BaudGenerat

10、orAccBaudGeneratorAccWidth;上面的设计中存在一个错误: BaudGeneratorInc的计算是错误的, 因为 Verilog 使用 32 位的默认结果, 但实际计算过程中的某些数据超过了32位,所以改变一种计算方法。parameter BaudGeneratorInc = (Baud5)/(ClkFrequency4);这行程序也使得结果成为整数,从而避免截断。这就是整个的设计方法了。现在我们已经得到了足够精确的波特率,可以继续设计串行接收和发送模块了。RS-232发送模块下面是我们所想要实现的:它应该能像这样工作: 发送器接收8位的数据,并将其串行输出。(TxD_

11、start置位后开始传输). 当有数传输的时候,使busy信号有效,此时“TxD_start”信号被忽略.RS-232模块的参数是固定的: 8位数据, 2个停止位, 无奇偶校验.数据串行化假设我们已经有了一个115200波特的BaudTick信号.我们需要产生开始位、8位数据以及停止位。用状态机来实现看起来比较合适。reg 3:0 state;always (posedge clk)case(state)4b0000: if(TxD_start) state = 4b0100;4b0100: if(BaudTick) state = 4b1000; / 开始位4b1000: if(BaudTi

12、ck) state = 4b1001; / bit 04b1001: if(BaudTick) state = 4b1010; / bit 14b1010: if(BaudTick) state = 4b1011; / bit 24b1011: if(BaudTick) state = 4b1100; / bit 34b1100: if(BaudTick) state = 4b1101; / bit 44b1101: if(BaudTick) state = 4b1110; / bit 54b1110: if(BaudTick) state = 4b1111; / bit 64b1111: i

13、f(BaudTick) state = 4b0001; / bit 74b0001: if(BaudTick) state = 4b0010; / 停止位14b0010: if(BaudTick) state = 4b0000; / 停止位2default: if(BaudTick) state = 4b0000;endcase注意看这个状态机是怎样实现当TxD_start有效就开始,但只在BaudTick有效的时候才转换状态的。.现在,我们只需要产生TxD输出即可.reg muxbit;always (state2:0)case(state2:0)0: muxbit = TxD_data0;

14、1: muxbit = TxD_data1;2: muxbit = TxD_data2;3: muxbit = TxD_data3;4: muxbit = TxD_data4;5: muxbit = TxD_data5;6: muxbit = TxD_data6;7: muxbit = TxD_data7;endcase/将开始位、数据以及停止位结合起来assign TxD = (state4) | (state3 & muxbit);RS232接收模块下面是我们想要实现的模块:我们的设计目的是这样的: 1.当RxD线上有数据时,接收模块负责识别RxD线上的数据 2.当收到一个字节的数据时,锁

15、存接收到的数据到data总线,并使data_ready有效一个周期。注意:只有当data_ready有效时,data总线的数据才有效,其他的时间里不要使用data总线上的数据,因为新的数据可能已经改变了其中的部分数据。过采样异步接收机必须通过一定的机制与接收到的输入信号同步(接收端没有办法得到发送断的时钟)。这里采用如下办法。 1.为了确定新数据的到来,即检测开始位,我们使用几倍于波特率的采样时钟对接收到的信号进行采样。 2.一旦检测到开始位,再将采样时钟频率降为已知的发送端的波特率。典型的过采样时钟频率为接收到的信号的波特率的16倍,这里我们使用8倍的采样时钟。当波特率为115200时,采样

16、时钟为921600Hz。假设我们已经有了一个8倍于波特率的时钟信号 Baud8Tick,其频率为 921600Hz。具体设计首先,接受到的RxD信号与我们的时钟没有任何关系,所以采用两个D触发器对其进行过采样,并且使之我我们的时钟同步。reg 1:0 RxD_sync;always (posedge clk) if(Baud8Tick) RxD_sync = RxD_sync0, RxD;首先我们对接收到的数据进行滤波,这样可以防止毛刺信号被误认为是开始信号。reg 1:0 RxD_cnt;reg RxD_bit;always (posedge clk)if(Baud8Tick)begin i

17、f(RxD_sync1 & RxD_cnt!=2b11) RxD_cnt = RxD_cnt + 1; else if(RxD_sync1 & RxD_cnt!=2b00) RxD_cnt = RxD_cnt - 1; if(RxD_cnt=2b00) RxD_bit = 0; else if(RxD_cnt=2b11) RxD_bit = 1;end一旦检测到开始位,使用如下的状态机可以检测出接收到每一位数据。reg 3:0 state;always (posedge clk)if(Baud8Tick)case(state) 4b0000: if(RxD_bit) state = 4b100

18、0; / start bit found? 4b1000: if(next_bit) state = 4b1001; / bit 0 4b1001: if(next_bit) state = 4b1010; / bit 1 4b1010: if(next_bit) state = 4b1011; / bit 2 4b1011: if(next_bit) state = 4b1100; / bit 3 4b1100: if(next_bit) state = 4b1101; / bit 4 4b1101: if(next_bit) state = 4b1110; / bit 5 4b1110:

19、if(next_bit) state = 4b1111; / bit 6 4b1111: if(next_bit) state = 4b0001; / bit 7 4b0001: if(next_bit) state = 4b0000; / stop bit default: state = 4b0000;endcase注意,我们使用了next_bit 来遍历所有数据位。reg 2:0 bit_spacing;always (posedge clk)if(state=0) bit_spacing = 0;elseif(Baud8Tick) bit_spacing = bit_spacing + 1;wire next_bit = (bit_spacing=7);最后我们使用一个移位寄存器来存储接受到的数据。reg 7:0 RxD_data;always (posedge clk) if(Baud8Tick & next_bit & state3) RxD_data = RxD_bit, RxD_data7:1;怎样使用发送和接收模块这个设计似的我们可以通过计算机的串行口来控制

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

最新文档

评论

0/150

提交评论