智能热水器电路的设计_第1页
智能热水器电路的设计_第2页
智能热水器电路的设计_第3页
智能热水器电路的设计_第4页
智能热水器电路的设计_第5页
已阅读5页,还剩34页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

智能热水器电路的设计目录摘要1第一章概述311研究概述312研究内容313拟解决的问题3131主要技术指标4132拟解决的主要问题414总体设计4141设计思路概述5142系统各个模块方案论证514351芯片及其外围电路7144其他说明7第二章硬件设计821整体电路8221温度传感器AD590介绍8222AD590的连接方法9223AD590防水封装1023单片机80C511024单片机外围电路14241时钟电路的设计14242复位电路的设计1525数码管显示电路的设计1526下限水位控制电路1727加热管控制电路1928电源电路的设计24第三章软件设计2631数码管动态显示模块2632温度的测量与获取2833键盘扫描程序2934主程序34第四章仿真结果分析3641电路工作步骤3642仿真结果分析36致谢38结束语39摘要本毕业设计主要通过温度传感器采集水温、51单片机处理数据、可控硅调节水温、555电路实现下限水位的控制,从而实现电热水器的智能控制过程。文中首先对温度采集技术进行了简单的介绍,然后对51单片机进行了系统的概述,对设计过程中所采用的技术和方法进行了阐述,并对温度采集与控制过程中的多种方法进行了比较,确定了最后的方案。在硬件部分,本设计采用80C51单片机及温度传感器AD590来测量与控制温度,并介绍了着两款芯片的内部结构和主要特征,对芯片的工作原理和控制方式进行了阐述。同时本设计采用了继电器控制,单片机发送信号控制继电器开闭,控制加热管是否进行加热。在软件部分,本文给出了对数据进行处理的流程图。随着系统最终设计完成,本文最后部分对给系统的性能进行了仿真和分析。仿真结果表明,所设计的基于80C51单片机的智能热水器电路性能稳定、工作可靠、所具有的功能达到了课题的功能要求。关键词温度采集80C51继电器AD590TLC549ABSTRACTTHISGRADUATIONDESIGNSTOMAINLYMEASUREWATERTEMPERATUREBYTHETEMPERATURESENSOR,CONTROLWATERTEMPERATUREBYRELAY,THENREALIZETHEWHOLEWATERHEATERSYSTEMINTEXT,ITMAKESASIMPLEINTRODUCTIONOFTECHNOLOGYOFSEVERALTEMPARATURESIGNALFIRSTOFALL,THEN,INTRODUCES51MCUSYSTEMICLYANDTHEN,ITCOMPARESWITHEACHOTHERMETHODINTHEMEASUREANDCONTROLWATERTEMPERATUREOFDESIGNING,ATLAST,ITDECIDESTHEPROJECTINTHEPARTOFHARDWARE,BASINGONTHENEEDOFTHETASK,ITMAKESUSEOFTHE80C51ANDAD590TOMEASUREANDCONTROLTHETEMPERATURE,ASWELL,THISTEXTISDETAILEDTOINTRODUCETHEINTERNALSTUCTUREANDMAINCHARSCTERISTICSOFTHETWOCHIPANDCARRYINGONELABORATINGTOTHEWORKPRINCIPLETHEHEATINGPIPEISCONTROLLEDBYTHERELAY,THEMCUGIVEASIGNALTORELAY,ANDCONTROLTHEONOROFFOFTHERELAY,THENCONTROLTHEHEATINGPOWEROFTHEHEATINGWIREINTHEPARTOFTHESOFTWARE,ITSHOWSTHEFLOWCHARTOFTHEPROCESSINGDATASALONGWITHTHESYSTENISDEBUGEDSUCCESSFULLY,THISTEXTPARTCARRIESONTHETESTANDANALYSISTOTHEFUNCTIONOFTHATSYSTEMINTHEENDTHEEXPERIMENTEXPREESSTHATWHATISDONEISPROVIDEDWITHFUNCTIONSTABILITYANDWORKCREDIBILITY,THEFUNCTIONHAVEREACHEDTHETOPICATFIRSTOFTHEFUNCTIONREQUESTKEYWORDSGETTTINGTEMPERATURE80C51AD590RELAYTLC549第1章概述11研究现状当今市场上,电热水器的种类一般按其控制方式划分为机械控制和电脑板控制两种,按其储水方式划分为贮水式和即热式。机械控制方式的热水器结构较为简单,操作也较为方便,但是其对电能的使用控制方面较为落后,是一种耗能比较严重的热水器控制方式,这种控制方式一般出现在低端产品中,通过价格优势来获得市场。电脑板控制的电热水器在安全、节能、健康等反面都进行了较大的改进。根据用户对加热时间、加热水量、热水温度等方面要求的不同,同时通过当地水温、用户洗浴习惯等数据的采集分析,综合以各个因素后,热水器通过控制不同功率的加热棒来获得不同的加热模式,进而实现对加热进程的精确控制,以此来达到对电能使用的精确控制,从而使电热水器的使用更加节能。在安全方面,通过智能防电墙技术的应用,可使热水器外部保证低于人体安全的电流、电压值,使用户用的安心,同时通过使用各种防电绝缘材料,可以使热水器在通电时能够安全使用。另外,在健康方面,当今的热水器也有所关注,通过对将各地的水质监察后得到的数据输入电脑芯片后,可以及时对内胆内的水垢含量进行及时检测,当水垢含量超过健康安全值时,热水器会及时提醒用户进行清洗,以保证获取更加健康的热水。就贮水式与即热式热水器的划分方式来看,其主要的区别在于加热功率的不同,即热式热水器要求的功率较高,多数家庭电路不能承受。正因为电热水器的这些诸多优点,多数厂家都在加快创新技术的研发,以获取在热水器市场上的一席之地。除了对控制方式上的不断提升,对电热水器耐用性的追求也从未停止。内胆的损害意味着电热水器寿命的终结,如今各个电热水器生产厂商都在注重内胆材质的改良,诸如不锈钢搪瓷内胆等先进技术的出现使得电热水器内胆向着更加耐用的方向发展。12研究内容本课题要求设计一个智能热水器的水温控制电路。设计要求接通电源指示灯亮,开始加热,同时工作指示灯亮(绿色)。温度升高到设定值时,工作指示灯变为红色,蜂鸣器发出报警声,约一分钟后自动切断电源。要求有下限水位自动保护功能,当水箱中的水位低于设定值时,接通电源,电源指示灯不亮,热水器不能加热。允许设定温度范围2060;工作电压2201013拟解决的主要问题131主要技术指标(1)显示设定水温,测量水温。(2)温度检测显示范围2060摄氏度。(3)继电器控制。(4)当水箱中水位低于限定水位时,发出报警,整机电路不工作,防止加热管干烧。(5)使用矩阵键盘进行温度的设定与测得温度的显示,电路的启停。132拟解决的主要问题1、找到合适的温度传感器找到一款精确符合本毕业设计的性价比高的温度传感器,比较传统的温度传感器与模拟集成温度传感器AD5901以及智能数字温度传感器如DS18B20等,并选取适合的传感器用于本毕业设计。2、利用单片机实现温度的控制使用单片机控制加热管的启停,以获取合适温度的热水,同时通过单片机与数码管的连接显示当前的水温。主要是找出一种相对于本毕业设计要求相符的性价比较高的一种单片机,并学习运用单片机的功能。3、编写控制程序通过软件编程,将设定的温度与测得的水温显示于数码管上,并实现加热管的启停控制,同时注意编程语言的合理性与简洁性。14总体设计智能热水器控制电路是一种集温度采集、温度控制于一体的系统,其工作原理是先对温度进行采集、转换得到数字信号,通过I/O口传送到MCU,然后由MCU处理并输出一定的数据,从而控制继电器,继而控制加热管的启停。智能电热水器系统结构的组成框图如图11所示。图11原理框图141设计思路概述本设计首先设定预加热的温度,然后对温度进行测量、转换得到数字型号,通过I/O口传送到中央处理器,然后由中央处理器进行测得温度与设定温度进行比较,从而控制继电器的开闭,继而控制加热丝是否进行加热。水位下限控制电路与开关同时控制整体电路的工作与否,保证使用的安全。142系统各个模块方案论证1、微控制器部分方案方案一采用FPGA2来作为控制器。FPGA是超大规模可编程逻辑器件,逻辑支援很多,具有极大的灵活性和通用性,使用方便,硬件测试和实现快捷,开发效率高,产品上市时间短,便于技术维护和系统功能扩展,工作可靠性好,也可以节约系统面积。但是FPGA的价格较高,即使大批量生产也很难把系统价格给降低,市场竞争力不强。同时结合该系统的复杂程度较低,所需要的资源有限,使用FPGA会造成资源的浪费。方案二采用DSP作为控制器。DSP是从处理器的基础上发展起来的,一种完成密集的数字信号处理算法的高速微处理器。它是VLSI技术和现代数字信号处理技术的最佳结合。一般DSP芯片内部大都采用多总线体制和程序存储与数据存储分离的结构,其运算采用HARVARD结构或改进好、HARVARD结构,指令是流水线操作。专用的硬件乘法器、强大的接口电路和强有力的指令系统,大大提高了运算功能和数据传输能力,能方便地出路以运算为主的对时延要求比较严格的实时信号。根据本设计的要求,该系统逻辑较为简单,无需专业的信号处理元件进行数据处理,另外,对DSP编程及架构了解较少,不便于进行设计,故不选择其作为控制器件。方案三选用PIC单片机3作为控制器件。PIC系列单片机是美国MICROCHIP公司生产的产品。它以其独特的硬件系统和指令系统的设计,逐渐被广大工程设计人员采用。MICROCHIP公司是一家集开发、研制和生产为一体的专业单片机芯片制造商,其产品综合应用系统设计的思路,具有很强的技术特色。产品采用全新的流水线结构、单字节指令体系、嵌入FLASH以及10位A/D转换器,使之具有高、中、低三个档次,可以满足不同用户开发的需求,适合在各个领域中的应用。根据本次课题设计要求,所需的单片机资源较少,不要求较快的数据处理速度,而且PIC单片机市场价格较高,不适宜本课题设计。方案四选用51单片机作为控制器件。51系列单片机目前在企业有很大的应用市场,它有多种封装形式可以供工程师选择,成本也比较低,内部资源也比较丰富,只要不是太复杂的应用系统,都可以很轻松的实现。编写单片机程序也比较简单,C语言编程发展的也已相当成熟,通过大学课程中C语言的学习,对简单的C语言程序也可以较好的理解,方便软件部分的设计。目前常用的51单片机都是CMOS工艺的,具有低功耗特点。而且52系列是完全兼容51系列的单片机,也为系统升级带来了方便。故而采用51单片机作为核心控制器件。2、显示模块方案一采用液晶显示。液晶可以显示较为复杂的字符,较省电。但是在湿热的环境中工作容易出现问题,同时价格较贵。方案二采用数码管显示。数码管显示内容单一,一般为7段的8字。由于该系统仅需显示温度数值,显示内容较为简单,故选用价格较为低廉且在湿热环境中能较好工作的数码管。3、按键模块方案一使用独立键盘作为数据输入器件。独立键盘编程简单,但是浪费较多的I/O口资源。方案二使用矩阵键盘作为数据输入器件。使用矩阵键盘时,编程较为复杂,但是能够节约单片机I/O口资源,本设计采用矩阵键盘,同时将开关等案件进行整合,在方便设定温度的同时保证设计的美观。4、测温模块方案一使用测温芯片DS18B20。DS18B20是美国DALLAS半导体公司生产的数字化温度传感器,该传感器具有体积更小、精度更高、适用电压更宽、采用一线总线、可组网等优点,在实际应用中取得了良好的测温效果。方案二使用模拟测温元件。使用模拟温度传感器AD590,AD590测量热力学温度、摄氏温度、两点温度差、多点最低温度、多点平均温度的具体电路,广泛应用于不同的温度控制场合由于AD590精度高、价格低、不需辅助电源、线性好,常用于测温和热电偶的冷端补偿。本次课题设计采用AD590传感器与模数转换器件TLC549进行温度的测量。14351芯片及其外围电路(1)51芯片的选择也很重要,这是因为不同的芯片有不同的优点,有不同的控制需要,为方便设计的顺利进行,本设计选用较为常用80C51芯片。芯片的具体介绍将放在硬件部分具体介绍。芯片首先必须要与温度采集模块进行正确的链接才能保证数据被送到51芯片进行处理,当数据被处理了以后又要将处理的结果送到外部显示给用户或是再进行进一步的处理,这些都涉及到温度采集模块与显示模块的内容。这一部分也将在硬件部分进行具体的表述。(2)传感器(温度采集模块)通常把从温度提取信号电路中得到的信号是模拟的电信号,不能直接进行处理,必须经过模数转换器件转化成数字信号才能进行处理。本课题就是采用的这种原理进行温度的采集与处理。传感器采用常用的是采用防水封装的模拟温度传感器AD590,其获取的信号经过TLC549的处理以后得到数字信号,传送至单片机进行数据的处理与显示。144其他说明整个系统分为硬件部分和软件部分。硬件部分主要介绍系统制作过程中各个步骤采用的电路、电路中所用到的各种芯片和芯片的功能说明、各个器件的连接方式;软件部分主要介绍系统对数据处理的流程和数据处理的一些细节,以及各个模块的软件编程。第二章硬件设计21整体电路本课题的总电路原理图主要由单片机80C51、晶振复位电路、数码管显示模块、温度信号采集模块、按键电路、继电器驱动模块、下限水位控制模块、报警电路等模块组成。原理图如图41所示。图21整体电路图22温度信号采集电路温度采集的方式很多,也有很多电路可供选择。本设计中采用AD590和模数转换器件TLC549完成温度的采集。221温度传感器AD5901介绍AD590是美国ANALOGDEVICES公司的单片集成两端感温电流源。AD590有三种封装形式TO52封装、陶瓷封装(测温范围均为50150)、TO92封装(测温范围是070)。采用TO52封装的啊AD590系列产品的外形如图。所示,该器件的外形与小功率管相仿,共有3个管脚1脚为正极,2脚是负极,3脚接管壳。使用时将第3脚接地,可起到屏蔽作用。图22AD590外形及符号主要特性1流过器件的电流A等于器件所处环境的热力学温度开尔文度数IR/T11式中,IR流过器件AD590的电流,单位为A;T热力学温度,单位为K;(2AD590的测温范围为55150;3AD590的电源电压范围为430V,可以承受44V正向电压和20V反向电压,因而器件即使反接也不会被损坏;4输出电阻为710M;5精度高,AD590在55150范围内,非线性误差仅为03。AD590等效于一个高阻抗的恒流源。工作电压在430V、测温范围是55155范围之内。其电流温度特性曲线如图23所示。图23AD590电流温度特性曲线222AD590的连接方法AD590是电流输出性集成温度传感器。在设计测量温度电路时,必须将电流转换成电压。温度没升高1K,电流就增加1UA。摄氏温度测量电路的设计必须完成两部分任务一是将AD590输出的电流转换成电压信号,也就是电流转换为电压电路。二是将热力学温度转换成为摄氏温度,即绝对温度转换成为摄氏温度电路。摄氏温度测量电路工作原理如图24。图24AD590连接电路图223AD590防水封装由于本课题要测水温,一般的3引脚TO92封装大的AD590无法长时间浸泡在水中,故而选用不锈钢封装防水型AD590。其内部为AD590集成温度传感器,外部采用不锈钢封装三芯屏蔽防水引线。如图25所示。图25AD590防水封装23单片机80C51在本系统设计中,使用的是ATMEL公司生产的80C514微控制器。采用40引脚双列直插式DIP。DUALINLINEPACKAGE,内有128个RAM单元及4K的ROM。80C51有两个16位定时计数器,两个外中断,两个定时计数中断,及一个串行中断,并有4个8位并行输入口。80C51内部有时钟电路,但需要石英晶体和微调电容外接,本系统中采用12MHZ的晶振频率。80C51单片机最小系统如图26所示。图2680C51单片机最小系统(1)80C51的主要性能参数标准MCS51内核和指令系统32个可编程双向I/O口2个16位可编程定时/计数器5个中断源可编程全双工串行通信口2层优先级中断结构快速脉冲编程PDIP和PLCC封装形式4KB内部ROM外部可扩展至64KB兼容TTL和CMOS逻辑电平1288BIT内部RAM时钟频率016MHZ布尔处理器电源空闲和掉电模式2层程序加密位50V工作电压280C51单片机管脚如图27所示图2780C51单片机引脚380C51单片机引脚功能单片机的40个引脚大致可分为4类电源、时钟、控制和I/O引脚。1电源1VCC芯片电源,接5V;2VSS接地端;2时钟XTAL1、XTAL2晶体振荡电路反相输入端和输出端。3控制线控制线共有4根,1ALE/PROG地址锁存允许/片内EPROM编程脉冲ALE功能用来锁存P0口送出的低8位地址PROG功能片内有EPROM的芯片,在EPROM编程期间,此引脚输入编程脉冲。2PSEN外ROM读选通信号。3RST/VPD复位/备用电源。RST(RESET)功能复位信号输入端。VPD功能在VCC掉电情况下,接备用电源。4EA/VPP内外ROM选择/片内EPROM编程电源。EA功能内外ROM选择端。VPP功能片内有EPROM的芯片,在EPROM编程期间,施加编程电源VPP。4I/O线80C51共有4个8位并行I/O端口P0、P1、P2、P3口,共32个引脚。P3口还具有第二功能,用于特殊信号输入输出和控制信号(属控制总线)。24单片外围电路241时钟电路设计5在单片机的内部有一个高增益反向放大器,其输入端为芯片引脚XTAL1,输出端为XTAL2,在芯片的外部通过这两个引脚跨接晶体振荡器和微调电容,形成反馈电路,就构成一个稳定的自激振荡器。电路图如图28所示。图2880C51外部时钟源电路图电路中的电容一般取30PF左右,而晶体振荡器的频率范围通常是12MHZ12MHZ,在本系统中使用110592MHZ。242复位电路设计5RST引脚是单片机复位信号的输入端,复位信号是高电平有效,其有效时间应持续24个振荡脉冲周期(即2个机器周期)以上,产生复位信号的电路如图29所示。图29单片机复位电路此复位电路为按键电平方式复位,首先具有开机复位的功能,在平时状态中由于电容阻断直流电压,因此RST复位端口一直为低电平,当按键按下是RST端为高电平则会进行系统复位。25数码管显示电路设计在显示部分是由4位7段共阴极数码管及74LS374组成。电路原理图如图210。图210显示部分原理图将80C51接到排阻上,然后接到74LS374上,最后连接到数码管显示器上。(1)RESPACK8一般接在80C51单片机的P0口,因为P0口内部没有上拉电阻,不能输出高电平,所以要接上拉电阻。排阻就是很多同阻值的电阻连在一起,他们有一个公共端。(2)74LS374是具有三态输出的边沿触发器,74LS374外部管脚图如图211所示,管脚功能分别为D0D7数据输入端OE三态允许控制端(低电平有效)CP时钟输入端O0O7输出端图21174LS374管脚图374输出端0007可直接与总线相连,单三态允许控制端OE为低电平,0007为正常逻辑状态,可用来驱动负载总线。OE为高电平,0007高阻态,不驱动总线负载。当时钟端脉冲上升沿作用下,0007随数据D而变。本课题采用两个74LS374芯片,一个用作段选,控制8位数码管的各段显示管,另一个用作片选。(3)数码管显示电路。本课题采用4位7段共阴极数码管,如图212所示。图212数码管26下限水位控制电路本课题要求有下限水位自动保护功能,当水箱中的水位低于设定值时,接通电源,电源指示灯不亮,热水器不能加热,以避免事故的发生。本课题使用由555为核心的简单的声光报警缺水电路。555定时器6是一种多用途的数字模拟混合集成电路,利用它能极方便的构成施密特触发器、单稳态触发器和多谐振荡器。由于使用零落、方便,所以555定时器在波形的产生与变换、测量与控制、家用电器、电子玩具等许多领域中都得到了应用。正因为如此,自从SIGNETICS公司于1972年推出这种产品以后,国际上各主要的电子器件公司也都相继地生产了各自的555定时器产品。尽管产品型号繁多,但所有的双极型产品型号的最后3位数码都是555,所有CMOS产品型号最后的4位数码都是7555,。而且,它们的功能和外部引脚的排列完全相同。为了提高集成度,随后又生产了双定时器产品556(双极型)和7556(CMOS型)。555管脚图如图213所示。图213555管脚图各管脚功能分别为1GND地5CONTROLVOLTAGE控制电压2TRIGGER触发6THRESHOLD阈值3OUTPUT输出7DISCHARGE放电端4RESET复位8VCC电源本次课题使用555构成一个多谐振荡器,是LED能够按照一定的频率闪烁,扬声器发出一定频率的报警声。该电路如图214所示,A、B两根导线分别连接金属水位探针。在正常贮水状态下,两探针浸入水中,A、B之间呈数千欧低阻状态;缺水时,A、B之间呈开路状态。555够成可控多谐振荡器,受A、B金属探针之间电阻值变化控制。贮水充足时,555的第2、6脚经A、B之间低阻连到地,555停振并且第3、7脚输出高电平,LED不发光并且也无告警声,缺水时,A、B探针开路,555按多谐振荡器工作,驱动LED闪亮发光,同时驱动扬声器发出告警声。图214报警电路工作电源可在515V范围内任选设定。电路中所用元器件均为一般电子产品。电解电容器只要耐压在电源电压的2倍或以上就可以保证可靠工作。金属探针可使用直径1MM以上单股硬电线制成,浸入水中的一头去除外皮10MM左右。27加热管控制电路由于课题对加热时间的限制较少,故采用较为简单的继电器电路来控制加热管的启停,方便硬件电路与控制程序的设计,加热部分电路图如图215所示。由于51单片机无法直接驱动继电器进行工作,故设计简单的驱动电路,是其能够正常工作。图215加热部分电路图28电源电路的设计电源模块7由两部分组成,第一部分为12V电压产生电路,第二部分为12V和5V电压产生电路。(1)12V电源产生电路原理图如图216所示图216电源整体电路二极管VD1VD4组成桥式整流电路,把50HZ的交流电变换为脉动直流电,再经电容器C1滤波,除去不需要的交流成分。稳压二极管VD5与电阻R1为稳压电路提供了一个12V的基准电压。发光二极管VD6与电阻R2、R3及三极管VT1组成恒流源电路,作为取样放大管VT3的恒流源负载。发光二极管不仅可以作为电源工作指示,更重要的为VT1提供一个稳定的电压,使VT1的集电极电流稳定在2MA左右。电位器RP为输出电压调节器,调节RP可使VT2的基极电压发生连续变化,VT2的发射极电压也随之改变,使调整管的基极电压发生变化,进而达到调整电压的目的。使用电位器主要是为了在调试过程中获得更加精准的电源电压。电路的稳压过程是情况1输入电压升高/负载减轻输出电压U0VT3基极电压U0VT3基极电流VT3集电极电压调整管基极电压输出电压情况2外接负载加重输出电流输出电压下降U0VT3基极电压VT3集电极电流输出电流三极管VT4和VT5组成复合管,提高了稳压电流的输出电流能力。(2)5V、12V电压产生电路原理图如下图21712V电压产生电路图2185V/12V电压产生电路经12V电压产生电路生成的12V电压,通过这一部分分成两路一路直接提供12V的直流电压,另一路通过三端稳压芯片78057稳压成5V直流电压提供给单片机系统使用。右边两个电容是5V电源的滤波电容,电阻和绿色的LED组成5V电源的工作指示电路。第3章软件设计本课题所要求的控制功能较为简单,故程序的设计也较为简单,未有太多的顾及单片机各种志愿的合理利用,只是简单的实现了本毕业设计课题的课题要求,本课题使用C语言进行编程8。软件部分主要实现的功能有下限水位控制条件的判定、加热温度的测量与显示、预设温度的输入与显示、加热管加热的控制、声光报警系统的实现以及整体电路的安全工作。在软件部分中,所涉及的模块主要有预设温度的设定(键盘扫描程序)、数码管动态显示、加热温度数值的获取与显示、达到预设温度后的报警以及实现整个系统功能的主程序。在本章中主要介绍数码管显示模块、温度检测模块、键盘扫描模块以及主程序等模块的程序设计。31数码管动态显示模块数码管动态显示接口是单片机中应用最为广泛的一种显示方式之一,动态驱动是将所有数码管的8个显示笔划“A,B,C,D,E,F,G,DP“的同名端连在一起,另外为每个数码管的公共极COM增加位选通控制电路,位选通由各自独立的I/O线控制,当单片机输出字形码时,所有数码管都接收到相同的字形码,但究竟是那个数码管会显示出字形,取决于单片机对位选通COM端电路的控制,所以我们只要将需要显示的数码管的选通控制打开,该位就显示出字形,没有选通的数码管就不会亮。通过分时轮流控制各个数码管的的COM端,就使各个数码管轮流受控显示,这就是动态驱动。在轮流显示过程中,每位数码管的点亮时间为12MS,由于人的视觉暂留现象及发光二极管的余辉效应,尽管实际上各位数码管并非同时点亮,但只要扫描的速度足够快,给人的印象就是一组稳定的显示数据,不会有闪烁感,动态显示的效果和静态显示是一样的,能够节省大量的I/O端口,而且功耗更低。以下程序实现的功能就是数码管的动态显示。/数码管动态显示/VOIDDISPLAYUINTNUMUCHARBAI,SHI,GEBAINUM/100SHINUM/1010GENUM10WX0P00XF7WX1DX0P0DISCODEGEDX1DELAY1MS1IFNUM0WX0P00XFBWX1DX0P0DISCODESHI|0X80DX1DELAY1MS1WX0P00XFDWX1DX0P0DISCODEBAIDX1DELAY1MS132温度的测量与获取本模块的主要功能是处理有AD590及TLC549获取的温度数值,并对其进行处理,使其能够与预设温度进行比较,并且能够使用数码管进行显示。该部分程序如下/温度测量模块/AD_VALUCHARI,TEMP0CS1SCLK0CS0_NOP_FORI0I8ISCLK1TEMPTEMP1IFDATAOUTTEMP|0X01SCLK0CS1ADTEMPFORI0I5I_NOP_INT_RESULTAD10/256FLOAT_RESULTAD10256100/256UUUINT_RESULT100FLOAT_RESULT/RETURNUUU33键盘扫描程序矩阵键盘是通过高低电平的判定来判断哪个按键被按下,一下是矩阵键盘的扫描程序/矩阵键盘扫描程序/VOIDKB_SCAN1UCHARTEMPP30XFEIFP30XFEDELAY1MS1IFP30XFETEMPP3WHILEP30XFEIFKEY_FLAG11KEY_FLAG21ELSEKEY_FLAG11SWITCHTEMPCASE0XEEKEY0X31BREAKCASE0XDEKEY0X32BREAKCASE0XBEKEY0X33BREAKCASE0X7EKEY0X3ABREAK/30P30XFDIFP30XFDDELAY1MS1IFP30XFDTEMPP3WHILEP30XFDIFKEY_FLAG11KEY_FLAG21ELSEKEY_FLAG11SWITCHTEMPCASE0XEDKEY0X34BREAKCASE0XDDKEY0X35BREAKCASE0XBDKEY0X36BREAKCASE0X7DKEY0X3BBREAK/60P30XFBIFP30XFBDELAY1MS1IFP30XFBTEMPP3WHILEP30XFBIFKEY_FLAG11KEY_FLAG21ELSEKEY_FLAG11SWITCHTEMPCASE0XEBKEY0X37BREAKCASE0XDBKEY0X38BREAKCASE0XBBKEY0X39BREAKCASE0X7BKEY0X3CBREAK/键P30XF7IFP30XF7DELAY1MS1IFP30XF7TEMPP3WHILEP30XF7IFKEY_FLAG11KEY_FLAG21ELSEKEY_FLAG11SWITCHTEMPCASE0XE7KEY0X3DBREAK/开关CASE0XD7KEY0X30BREAKCASE0XB7KEY0X3EBREAK/键CASE0X77KEY0X3FBREAK/设置键34主程序主程序的作用主要是判定下限水位是否满足加热要求、调用按键扫描程序、数码管动态显示程序、温度测量成程序以及进行预设温度与测量获取温度的比较,进而通过对继电器的开闭来控制加热管的工作与否。通过主程序使各个没夸能够有机的结合,顺利的完成系统的工作要求。以下为本课题的主程序。/主程序/VOIDMAINTMOD0X21TH00XFCTL00X18TH10X7FTL10EA1ET01ET11WHILE1IFTZ1WARN1IFSW1X0AD_VALDISPLAYUUUIFUUUSCHOT1ELSEHOT0ELSEIFX0XX0XSET_TELSEWARN0第4章仿真结果分析41电路工作步骤设计的智能电热水器电路是这样工作的接通电源后,首先判断水箱中的水量是否低于限定水位,若是,则停止工作,并进行声光报警,若不是则进入设定温度步骤,将控制显示与设定温度的按键接至低电平,通过矩阵键盘可以直接进行温度的设定,在该系统中,可设定的温度为两位数,设定完温度以后,将按键接至高电平,显示当前热水的温度,并开始加热,且工作指示灯为绿色,待加热温度达到预设温度后,继电器打开,加热管停止工作,工作指示灯变为红色。42仿真结果分析待本次课题的硬件部分与软件部分设计完毕后,使用PROTEUS软件910对电路进行了仿真与调试。仿真电路如图41所示。图41仿真电路图仿真结果如下(1)在仿真过程中使用精确可变变阻器代替AD590获取的信号,通过滑动变阻器阻值的变化模拟实际应用中水温的变化,经仿真测试,该部分能够较精确的测量温度,并将其显示在数码管上。(2)通过一个按键来完成温度的设定与温度显示的控制。通过多次测试,证明按键电路、设定温度的显示、测量温度的显示都能叫还的工作。缺点主要是键盘去抖尚不完善,在进行温度设定时会出现一定的数码抖动,另外控制温度设定与数码显示的按键不太美观。(3)通过一个SCR来完成单片机控制电路与下限水位空盒子电路的组合,通过高顶电平的改变来模拟水位的高低,当单片机接口输入信号为低电平时,认为水位过低,不进行加热,当输入为高电平时认为水位满足加热条件,可进行加热。通过测试,该部分能够很好的完成水位报警,当水位过低时,LED会以一定频率闪烁,蜂鸣器发出一定频率的声音进行水位过低的报警。(4)通过一个3V继电器来控制加热管的加热与否。这一部分主要涉及程序的设计,在该部分中,当所测温度低于设定温度时,绿色LED工作,当达到预设温度后,红色LED工作,并且设计蜂鸣器电路进行报警,但蜂鸣器电路不能较好的工作。(5)电源开关的设计尚不完善,拟将矩阵键盘中两个闲置的按键,“”号键和“”号键分别修改为电源开关和控制键盘和显示电路的按键,一方面节省资源,另一方面会较为美观。以上就是这次毕业设计的仿真结果,通过分析可知,本设计可以完成大部分课题要求。致谢四年的艰苦跋涉,五个月的精心准备,毕业论文终于到了划句号的时候,心头照例该如释重负,但写作过程中常常出现的辗转反侧和力不从心之感却挥之不去。论文写作的过程并不轻松,工作的压力时时袭扰,知识的积累尚欠火候,于是,我只能一次次埋头于图书馆中,翻阅有关资料,一次次上网查阅所用知识。第一次花费如此长的时间和如此多的精力,完成一篇具有一定价值的论文,其中的艰辛与困难难以诉说,但曲终幕落后留下的滋味,值得我一生慢慢品尝。敲完最后一个字符,重新从头细细阅读早已不陌生的文字,我感触颇多。虽然其中没有什么值得特别炫耀的成果,但对我而言,是宝贵的。它是无数教诲、关爱和帮助的结果。我要衷心感谢我的指导教师刘在娥老师。刘老师虽身负教学、科研重任,仍抽出时间,不时召集我和同学们以督责课业,耳提面命,殷殷之情尽在谆谆教诲中。这篇论文更倾注了她的大量心血。从初稿到定

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

最新文档

评论

0/150

提交评论