EDA课程设计--数字秒表设计.doc_第1页
EDA课程设计--数字秒表设计.doc_第2页
EDA课程设计--数字秒表设计.doc_第3页
EDA课程设计--数字秒表设计.doc_第4页
EDA课程设计--数字秒表设计.doc_第5页
已阅读5页,还剩3页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

电子线路CAD实验报告数字秒表设计学 院 :理学院 专 业 :光信息科学与技术班 级 :20081461 姓 名 :苏伟学 号 :2008146136 指导教师 :吴正平 一、设计任务与要求 1、数字秒表的计时范围是00:00:00:0023:59:59:99,显示的最长时间:23小时59分59秒99微秒。 2、数字秒表的计时精度是0.01s。 3、复位开关可以在任何情况下使用,即便在计时过程中,只要按一下复位开关,计时器就清零,并做好下次计时的准备。 4、具有启/停开关,即按一下启/停开关,启动计时器开始计时,再按一下启/停开关则停止计时。二、总体框图频率信号输入微妙模块秒模块分模块置数/位选显示模块进位进位由频率信号输出端输出频率为100HZ的时钟信号,输入到微妙模块的时钟端clk,微妙模块为100进制的计数器,产生的进位信号输入到下一级秒模块的时钟端,以此类推,直到分模块计数到59进60时,产生的进位信号不输出,计数清零。将微妙、秒、分产生的计数通过置数/位选再通过显示模块实时显示。设计方案:利用一块芯片完成除时钟源,按键和显示器之外的所有数字电路功能。所有数字逻辑功能都在CPLD器件上用VHDL语言实现。这样设计具有体积小,设计周期短,调试方便,故障率地和修改升级容易等特点, 本设计采用自顶向下,混合输入方式(原理图输入顶层文件链接和VHDL语言输入各模块程序设计)实现数字秒表的设计,下载和调试。三、功能模块1:分频器设计程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnte isport( clk0:in std_logic; clk1: buffer std_logic);end cnte;architecture one of Cnte issignal cout: integer range 0 to 14999;begin process(clk0,clk1)beginif clk0event and clk0=1 then if(cout=14999) then cout=0;clk1=1;else cout=cout+1;clk1=0;end if;end if;end process;end one;分频器波形图2:秒模块设计程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt100 isport( clk1,stop,ret:in std_logic; clk2: buffer std_logic; dl,dh:buffer std_logic_vector (3 downto 0);end cnt100;architecture second of cnt100 isbegin process(clk1,stop,ret)beginif(ret=1) thendl=0000;dh=0000;elsif(stop=0) thenif clk1event and clk1=1 then if dl=9 then dl=0000;if dh=9 then dh=0000; clk2=1;else dh=dh+1; clk2=0;end if;else dl=dl+1;end if;end if;end if;end process;end second;秒模块波形图3:分模块设计程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt60 isport( clk2,stop,ret:in std_logic; clk3: buffer std_logic; dl,dh:buffer std_logic_vector (3 downto 0);end cnt60;architecture minute of cnt60 isbegin process(clk2,stop,ret)beginif(ret=1) thendl=0000;dh=0000;elsif(stop=0) thenif clk2event and clk2=1 then if dl=9 then dl=0000;if dh=5 then dh=0000; clk3=1;else dh=dh+1; clk3=0;end if;else dl=dl+1;end if;end if;end if;end process;end minute;分模块波形图4:时钟模块设计程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt24 isport( clk3,stop,ret:in std_logic; clk4: buffer std_logic; dl,dh:buffer std_logic_vector (3 downto 0);end cnt24;architecture hour of cnt24 isbegin process(clk3,stop,ret)beginif(ret=1) thendl=0000;dh=0000;elsif(stop=0) thenif clk3event and clk3=1 then if dl=3 and dh=2 thendl=0000;dh=0000;elsif dl=9 then dl=0000;if dh=2 then dh=0000;else dh=dh+1;end if;else dl=dl+1;end if;end if;end if;end process;end hour;时模块波形图四、总体设计电路图1:连接图2:仿真图五 心得体会 经过本次课程设计,

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

最新文档

评论

0/150

提交评论