课程设计用VHDL语言来实现FSK的调制解调系统.doc_第1页
课程设计用VHDL语言来实现FSK的调制解调系统.doc_第2页
课程设计用VHDL语言来实现FSK的调制解调系统.doc_第3页
课程设计用VHDL语言来实现FSK的调制解调系统.doc_第4页
课程设计用VHDL语言来实现FSK的调制解调系统.doc_第5页
已阅读5页,还剩17页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

摘要数字调制解调技术是现代通信的一个重要的内容,在数字通信系统中,由于基带数字信号包含了丰富的低频部分,如果要远距离传输,特别是在有限带宽的高频信道无线或光纤信道传输时,必须对数字信号进行载波调制,使基带信号的功率谱搬移到较高的载波频率上,这就称为数字调制(Digital Modulation) 。它可以分别对载波的幅度、频率、相位进行调制,于是有ASK(移幅键控) 、FSK(移频键控) 、PSK(移相键控) 等调制方式。数字调制同时也是时分复用的基本技术,其中FSK 是利用数字信号去调制载波的频率,是信息传输较早的一种传输方式,(2FSK) 在通信系统中应用广泛。了解2FSK调制/解调的基本理论,分析设计一个完整的2FSK发送与接收系统,并对系统进行仿真分析与设计,以此提高自己的分析材料、解决问题和设计产品的能力。本次课程设计就是在EDA实验板上用VHDL语言来实现FSK的调制解调系统。采用键控法对载波进行调制,用过零检测法对调制信号进行解调。用4级移位寄存器产生伪随机序列作为调制信号。仿真成功后下载到实验板上,通过示波器分别观察调制信号和已调波;调制信号和解调信号,与波形仿真结果相同,但由于噪声的影响,使得示波器的波形有延迟。关键字:2FSK,数字调制,VHDL语言,目录目录4前言1第一章设计内容及要求21.1 设计内容22.1设计要求2第二章 系统组成及工作原理32.1 2FSK的调制方法与调制原理32.1.1模拟调制法32.1.1 键控法32.1.3 2FSK调制原理42.2 FSK的解调方法及原理52.2.1 非相干解调法6第三章 方案的设计及选择73.1 设计的几种2FSK数字调制解调方案73.2 方案的比较与选择7第四章 基于FPGA的2FSK调制解调器的设计84.1 FSK调制与解调程序流程图84.1.1 FSK调制程序流程图84.1.2 FSK解调程序流程图94.2 FSK调制VHDL程序仿真图及分析104.3 FSK解调VHDL程序仿真图及分析114.4外围硬件电路13第五章 2FSK调制解调的系统调试145.2 调试过程及分析14第六章 结论15参考文献16附录一 解调调制程序17前言调制解调技术在通信系统中占据非常重要的地位,它的优劣决定了通信系统的性能,是软件无线电的关键所在。而2FSK调制解调技术不仅可以更加精确的处理信号相位,提高系统频带宽度,而且还可使用数字电路和软件相结合的方法,在数字基带传输系统中应用广泛。二进制频移键控(2FSK)也在电力线载波通信系统中得到了广泛应用,还适用新型铁路信号安全设备的研制开发。 2FSK调制解调技术应用广泛,尤其是在数据率较低、数量比较小、短距离传输的无线通信领域。目前,针对FSK信号在这些领域的应用国内外己经有大量的研究成果。1、蓝牙(Bluetooth)通信设备。蓝牙(Bluetooth)是应用FSK调制解调的一个重要领域之一。蓝牙可替代短距离线缆,实现在移动电话、便携式电脑和其他电子装置间的无缝线连接。越来越多的旅馆、邮局、高尔夫球场、飞机场、商场、会议中心和商业领域都在采用蓝牙技术。 2、医学植入微电子器件。2FSK调制解调方式的另外一个前景广阔的应用领域是在医学植入微电子器件领域。现在,越来越多的病人已经受益于可植入微电子器件的先进技术,尤其是在药物或者物理手段不起作用的疾病和身体缺陷治疗方面。2002年第一个永久性微电子视网膜修复植入器件已经在南加利福利亚大学获得成功。3、便携式消费电子低成本、短距离无线系统的快速发展,要求将尽可能多的功能集成到单一片中。使用单一低电源电压的系统,如手机、PDA、3G无线终端等大量的可携设备,其较长工作周期、无持续供电电源等因素,也迫切需要进行低功耗低电的设计。而数字FSK正适用于这些低电压系统,且更容易和其它模拟部分一起被集成到单片芯片中。第一章 设计内容及要求1.1 设计内容运用所学知识完成某一数字通信系统的设计,其数字调制方式采用FSK调制方式。给出各个模块的设计方案,及参数设计,特别是数字调制与数字解调模块。2.1设计要求1使用多方法种给出多种方案。2比较得出最合适方案。3按最合适方案完成FSK的数字调制。4总结出FSK数调制的意义和优势。第二章 系统组成及工作原理如果用数字信号来键控载波的频率,即信号的符号“0”对应于载波频率f1;符号“1”对应于载波频率f2,这种调制技术称为二进制频移键控(2FSK)。2.1 2FSK的调制方法与调制原理2.1.1模拟调制法同模拟信号调制一样,2FSK信号可以利用一个矩形脉冲序列对一个载波进行调频而获得,这正是频率键控通信方式早期采用的实现方法,也是利用模拟调频法实现数学调频的方法。原理框图如图2.1所示。由调频器产生的2FSK信号,在相邻码元之间的相位是连续的,如图2.2所示。图2.1 模拟调制法 图2.2 相位连续 2.1.1 键控法2FSK信号的另一种产生方法是键控法,它利用受矩形脉冲序列控制的开关电路对两个不同的独立频率进行选通,如图2.3所示。这两种方法产生的2FSK信号的波形基本相同,只有一点差异,即开关法产生的2FSK信号是分别由两个独立的频率源产生不同频率的信号,故相邻码元的相位不一定是连续的,如图2.4所示。图2.3 键控法 图2.4 相位不连续 2.1.3 2FSK调制原理从“FSK基带输入”输入的基带信号分成两路,一路经过电压比较器1得到同基带信号极性相同的高/低电平,另一路经过电压比较器2得到同基带信号极性相反的高/低电平,分别接至模拟开关电路1、2,因此当基带信号为“1”时,模拟开关1打开,模拟开关2关闭,输出第一路载波(FSK载波输入1);当基带信号为“0”时,模拟开关1关闭,模拟开关2打开,此时输出第二路载波(FSK载波输入2),再通过叠加就得到FSK调制信号输出。如图2.5所示:图2.5 2FSK调制原理调制波形示意图如图2.6所示:图2.6 2FSK调制波形示意图2.2 FSK的解调方法及原理2FSK信号的解调有多种方法,如非相干检测法、相干检测法、鉴频法、过零检测法及差分检波法,此处主要介绍相干和非相干解调两类,其调制原理是将二进制频移键控信号分解为上下两路二进制振幅键控信号,分别进行解调,通过对上下两路的抽样值进行比较最终判决出输出信号,此时可以不专门设置门限电平。2.2.1 相干解调法2-FSK信号最常用的解调方法是相干检测法,2PSK相干解调原理框图和各点波形分别如图2.1和图2.2所示: 图2.7 相干检测法原理框图图2.8 相干检测法各点波形2.2.1 非相干解调法非相干法解调法(即包络解调法),其方框图如图2.3所示。图2.9 2FSK的解调方法非相干检测法用两个窄带的分路滤波器分别滤出频率为和的高频脉冲,经过包络检波后分别取出它们的包络。把两路输出同时送到抽样判决器进行比较,从而判决输出基带数字信号。设频率代表数字信号1;代表数字信号0,则抽样判决器的判决准则:式中x1和x2分别为抽样判决时刻两个包络检波器的输出值。这里的抽样判决器,要比较x1、x2的大小,或者说把差值x1-x2与零电平比较。因此,有时称这种比较判决器的判决电平为零电平。当FSK信号为时,上支路相当于接收“1”码的情况,其输出x1为正弦波加窄带高斯噪声的包络,服从莱斯分布。而下支路相当于接收“0”码的情况,输出x2为窄带高斯噪声的包络,服从瑞利分布。如果FSK信号为,上、下支路的情况正好相反,此时上支路输出的瞬时值服从瑞利分布,下支路输出的瞬时值服从莱斯分布。无论输出的FSK信号是或,两路输出的判决准则不变,因此可以判决出FSK信号。第三章 方案的设计及选择3.1 设计的几种2FSK数字调制解调方案方案一:采用Matlab软件的编程功能,在其编程界面上编写程序使其实现2FSK的调制与解调。在程序中使用图形表示出原始信号、调制后的信号与解调后的信号,比较波形观察2FSK的调制与解调的原理及特点。方案二:采用Matlab软件的仿真功能,使用其中的Simlink仿真功能,连接电路使其实现2FSK的调制与解调,利用仿真软件中示波器观察原始信号、调制后的信号与解调后的信号,比较波形观察2FSK的调制与解调的原理及特点。方案三:采用通用集成电路实现,使用Mutisim 根据设计出来的电路进行仿真,观察仿真结果看是否符合要求。得出正确结果后焊接电路使其实现2FSK的调制与解调,调试后利用示波器观察原始信号、调制后的信号与解调后的信号,比较波形观察2FSK的调制与解调的原理及特点。方案四: 采用基于FPGA芯片,采用VHDL语言,利用层次化、模块化设计方法,实现2FSK的调制与解调。将程序下载到芯片当中,是芯片实现2FSK的调制解调功能。利用示波器观察原始信号、调制后的信号与解调后的信号,比较波形观察2FSK的调制与解调的原理及特点。3.2 方案的比较与选择若使用Matlab软件的编程与仿真软件实现2FSK的调制与解调功能,可以是实现的方式更加方便,但是这两种方法是基于软件的使用无法实现硬件电路中通信系统的调制,这两种方法更适合与观察和了解2FSK的调制解调。而以往的键控移频调制解调器采用“固定功能集成电路 +连线”方式设计;集成块多 ,连线复杂 ,容易出错 ,且体积较大 ,本设计采用 FPGA芯片 , 采用VHDL语言,利用层次化、模块化设计方法有效地缩小了系统的体积 ,降低了成本 ,增加了可靠性 ,同时系统采用VHDL 语言进行设计 ,具有良好的可移植性及产品升级的系统性。第四章 基于FPGA的2FSK调制解调器的设计本实验采用键控法来产生2FSK信号,主要基于以下3个原因:1:Quartus所用的实验板以数字信号为主,而键控法用VHDL语言和逻辑电路很容易实现。2:直接调频法产生的移频键控信号虽易于实现,但由于是同一振荡器产生两个不同频率的信号,在频率变换的过渡点相位是连续的,其频率稳定度较差。而且这种方法产生的FSK信号频移不能太大,否则振荡不稳,甚至停振,因而实际应用范围不广,仅适用于低速传输系统。3:频率键控法是用数字矩形脉冲控制电子开关,使电子开关在两个独立的振荡器之间进行转换,从而在输出端得到不同频率的已调信号。由于产生和载频是由两个独立的振荡器实现,则输出的2FSK信号的相位是不连续的。这种方法的特点是转换速度快,波形好,频率稳定度高,电路不甚复杂,在实用中可以用一个频率合成器代替两个独立的振荡器,再经分频链,进行不同的分频,也可得到2FSK信号。4.1 FSK调制与解调程序流程图4.1.1 FSK调制程序流程图FSK调制的核心部分包括分频器、二选一选通开关等。设计中的两个分频器分别产生两路数字载波信号; 二选一选通开关的作用是: 以基带信号作为控制信号 , 当基带信号为“0”, 选通载波f1; 当基带信号为“1”时, 选通载波 f2。从选通开关输出的信号就是数字 FSK信号 , 调制信号为数字信号。FSK调制流程方框图如图4.1所示, FSK解调电路符号如图4.2所示。图4.1 FSK调制流程图图4.2 FSK解调电路符号4.1.2 FSK解调程序流程图程序中使用了过零检测法,与其他解调方法相比较,最明显的特点就是结构简单,易于实现,对增益起伏不敏感,特别适用于数字化实现。过零检测法是一种经济、实用的最佳数字解调方法。利用信号波形在单位时间内与零电平轴交义的次数来测定信号频率。输入的已调信号经限幅放大后成为矩形脉冲波,再经微分电路得到l圾向尖脉冲,然后整流得到单向尖脉冲,每个尖脉冲表示信号的一个过零点,尖脉冲的重复频率就是信号频率的2倍。将尖脉冲去触发一单稳态电路,产生一定宽度的矩形脉冲序列,该序列的平均分量与脉冲重复频率成正比,即与输入频率信号成正比。所以经过低通滤波器输出平均量的变化反映了输入信号的变化,这样就完成了频率-幅度变换,把码元“1”与“0”在幅度上区分开来,恢复出数字基带信号。FSK解调的核心部分包括分频器、判决起等。FSK解调方框图如图4.2所示, FSK解调电路符号如图4.3所示。说明:图中没有包含模拟电路部分,调制信号为数字信号形式。FPGA clkstart调制信号分频器q寄存器xx计数器m判决基带信号图4.2 FSK解调流程图图4.3 FSK解调电路符号4.2 FSK调制VHDL程序仿真图及分析FSK调制VHDL程序仿真图如图4.1所示。(a)FSK调制VHDL程序仿真全图(b)FSK调制VHDL程序仿真局部放大图图4.1 FSK调制VHDL程序仿真图注:a. 载波f1、f2分别是通过对clk的12分频和2分频得到的。b.基带码长为载波f1的2个周期,为载波f2的6个周期。c.输出的调制信号y在时间上滞后于载波信号一个clk,滞后于系统时钟2个clk。波形分析:2FSK调制信号可以看成是两路2ASK信号的叠加,start为开始调制信号,f1,f2为两个频率不同的载波,y为2FSK调制的已调波信号,x为二进制数字基带信号,由仿真波形图可知,在时钟信号的作用下,当start为1时,基带信号x开始对载波f1,f2进行调制,y输出相应的已调波波形,当start为0时,基带信号x不对载波f1,f2进行调制,y输出呈现高阻状态,由图可知,当x为1,二进制基带信号x对载波f2进行调制,输出波形y为基带信号x对载波f2的调制波形,y的波形频率与f2相同,当x为0时,二进制基带信号x对载波f1进行调制,输出波形y为基带信号x对载波f1的调制波形,y的波形频率与f1相同,由此波形仿真图可知,该设计实现了2FSK调制器的功能要求。4.3 FSK解调VHDL程序仿真图及分析20在解调器的设计中 , 已调信号是连续的波形 ,有两个不同的频率 , 在 FPGA实验平台上 , 已调信号可以通过矩形脉冲来代替 , 在一定的时间内 ,通过检测时钟上升沿来确定输入信号的频率 , 从而判断出基带信号 。在本设计中 , 先设计一个同步信号 , 即当同步信号 start为高电平时开始FSK解调VHDL程序仿真图及注释如图4.4所示。(a)FSK解调VHDL程序仿真全图(b)FSK解调VHDL程序仿真局部放大图图4.4 FSK解调VHDL程序仿真图及注释注:a.在q=11时,m清零。b.在q=10时,根据m的大小,进行对输出基带信号y的电平的判决。c.在q为其它值时,计数器m计下xx(寄存x信号)的脉冲数。d.输出信号y滞后输入信号x 10个clk。波形分析:图 4.4是依照图4.2用 VHDL语言编写解调程序得到的时序仿真图。在仿真图中 , clk是输入的时钟信号 , start信号为高电平 , 编辑输入调制信号x, 通过时序仿真得出结果。从图中可以看出, 输出信号 y有延迟。计数器 q计数时钟信号 clk的上升沿 , m计数输入调制信号的上升沿, 计数器 q计数到 11时清零, 若计数器 q为 10时, m 计数小于等于 3则判基带信号为“0”, 否则判为“1”。4.4外围硬件电路程序设计好后,需要一个产生方波的电路当作电路的时钟频率,电路设计如下:图4.5 方波电路第五章 2FSK调制解调的系统调试5.1 系统的连接利用QuartusII软件中的顶层设计发,将2FSK的调制解调连接在一起,使其实现全部功能。电路图结果如下图5.1 系统连接图(1)本系统的FSK调制解调器功能已经实观,结果正确无误,经验证满足预期的设计指标要求,且其整个工作过程可通过软件波形仿真,或是实际硬件电路通过示波器来直观、清晰观察。(2)传统的调制解调方式可以采用软件与硬件结合的方式来实现,符合未来通信技术发展的方向。5.2 调试过程及分析 将设计好的调制与解调两个模块连接起来就构成了2FSK信号调制解调系统,进行仿真得到结果。整个系统的仿真结果与预计的波形无较大出入,可以断定这个系统的工作是正常的,接下来将程序烧到EDA实验板上,观察波形,发现示波器波形与仿真结果一致,表明正确的实现了FSK的调制与解调。使用程序仿真时要注意,所给的调制信号的方波宽度要远大与两个载波的频率,这样在解调时才会得到正确的解调效果。第六章 结论整个设计使用 VHDL语言编写 , 以 EP1K30100- 3为下载的目标芯片 , 在 MAX + PLUS软件平台上进行布局布线后进行波形仿真 , 得到了正确的波形。结果正确无误 , 经验证满足预期的设计指标要求 , 且其整个工作过程可通过软件波形仿真 , 或是实际硬件电路通过示波器来直观、清晰地观察。传统的 FSK调制解调方式采用硬件电路实现 , 电路复杂调试不便 , 采用 VHDL语言用FPGA来实现的调制解调方式 , 设计灵活、修改方便 , 有效地缩小了系统的体积 , 增加了可靠性 ,具有良好的可移植性及产品升级的系统性。参考文献1陈华鸿. 频移键控 (FSK)及其最新应用 J. 现代计算机 , 2000(9): 36 - 39.2樊昌信. 通信原理 M. 北京: 国防工业出版社, 2001.3段吉海 , 黄智伟. 基于 CPLD/FPGA的数字通信系统建模与设计M. 北京: 电子工业出版社, 2004.4徐慧 , 徐锋. 2FSK信号产生器的 FPGA设计 J. 现代电子技术 , 2005(22): 60 - 61.5尹常永. EDA技术与数字系统设计 M . 西安: 西安电子科技大学出版社 , 2004.附录一 解调调制程序FSK调制VHDL程序-文件名:PL_FSK-功能:基于VHDL硬件描述语言,对基带信号进行FSK调制library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity PL_FSK isport(clk :in std_logic; -系统时钟 start :in std_logic; -开始调制信号 x :in std_logic; -基带信号 y :out std_logic); -调制信号end PL_FSK;architecture behav of PL_FSK issignal q1:integer range 0 to 11; -载波信号f1的分频计数器signal q2:integer range 0 to 3; -载波信号f2的分频计数器signal f1,f2:std_logic; -载波信号f1,f2beginprocess(clk) -此进程通过对系统时钟clk的分频,得到载波f1beginif clkevent and clk=1 then if start=0 then q1=0; elsif q1=5 then f1=1;q1=q1+1; -改变q1后面的数字可以改变,载波f1的占空比 elsif q1=11 then f1=0;q1=0; -改变q1后面的数字可以改变,载波f1的频率 else f1=0;q1=q1+1; end if;end if;end process;process(clk) -此进程通过对系统时钟clk的分频,得到载波f2beginif clkevent and clk=1 then if start=0 then q2=0; elsif q2=0 then f2=1;q2=q2+1; -改变q2后面的数字可以改变,载波f2的占空比 elsif q2=1 then f2=0;q2=0; -改变q2后面的数字可以改变,载波f2的频率 else f2=0;q2=q2+1; end if;end if;end process;process(clk,x) -此进程完成对基带信号的FSK调制beginif clkevent and clk=1 then if x=0 then y=f1; -当输入的基带信号

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论