智能网络程控机器人_第1页
智能网络程控机器人_第2页
智能网络程控机器人_第3页
智能网络程控机器人_第4页
智能网络程控机器人_第5页
已阅读5页,还剩16页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

物理与电子工程学院智能网络程控机器人作者:吉 瑞 执导教师:裴 东 王全州 (届别:2006届 专业:电子信息工程 班级:2002级电子乙班 学号:200272020213 )摘要:智能网络程控机器人参考工业上常用的上、下位机控制系统模型,采用工业PC机+无线传输系统+EDA技术实现系统各部分功能。其中远程控制功能采用无线数传模块控制机器人运行,通过无线传输模块实现图象回传,从而实现远程监视移动中机器人,根据周边环境并依此选择跟踪、寻迹、排障和避障等功能。基本功能及外围扩展功能是通过CPLD(EPM7218SLC84-15)和51单片机实现。整个系统以工业PC机为后台,分割复杂任务,简化各单元功能,提高系统的可靠性。关键词:无线数传模块 工业PC机 复杂可编程逻辑器件Intelligent Network Programme-controlled RobotAuthor : zhao jun wei Director : Pei dong Wang quan zhou( Year:2006th falls due Major: Electronic & Information Engineering )Abstract: The intelligence network programme-controlled robot referring to the common control system model in industry adopts the industrial PC + wireless transmission system + EDA techniques to realize each part function of the system, among which the remote control function adopts the wireless transmission model to control the performance of the robot. By the way of video transmission ,it can realize the long-distance monitor of the moved robot which can choose the function of trailing ,keeping away obstacles and seaching for trace in accordanc with the environment. The core function and peripheral expanding function is come true by the way of CPLD and 51MCU.On the basis of industrial PC,the whole system subdivdes complex missions and simplifies functions of each unit to promote the reliability of system. Keyword: wireless transmission system The industrial PC and CPLD目 录 1引言42原理框图53项目特色54软件设计4.1软件设计思想64.2程序流程设计6421CPLD程序设计流程 6422后台VB程序设计流程74.3人机交互界面设计8431走地图窗口的设计8432主控制窗口的设计9433音乐播放窗口的设计94.4程序设计及软件调试过程104.4.1VB开发的走地图程序104.4.2 VB软件调试过程134.4.3 VB开发主控制窗口程序144.4.4 VB开发音乐播放窗口程序144.4.5 VB串口发送接受程序144.4.6 单片机串转并程序145电机驱动5.1基于多级放大电路的驱动145.2基于专用驱动芯片LMD18200的电机控制方案145.3基于现成PWM模块及继电器方案166系统说明6.1技术特点176.2前景设计177参考文献198参考网站191. 引言 机器人的发展已经成为当今社会关注的话题。前不久新闻联播播报了韩国的女性类人机器人“夏娃机器人-1号”。其代表了工业机器人技术发展现状:(1)机械结构: 以关节型为主流,90年代发明的使用于装配作业的平面关节机器人约占总量的1/3。当代初开发的适应于窄小空间、快节奏、360度全工作空间范围的垂直关节机器人大量用于焊接和上、下料;应汽车、建筑、桥梁等行业需求,超大型机器人应运而生。如焊接树10米长、10吨以上大构件的弧焊机器人群,采取蚂蚁啃骨头的协作机构;CAD、CAE等技术已普遍用于设计,仿真和制造中。(2)控制技术:大多数采用32位CPU,控制轴数多达27轴,离线编程技术大量采用;协调控制技术日趋成熟,实现了多手与变位机、多机器人的协调控制,正逐步实现多智能体的协调控制;采用基于PC的开放结构的控制系统已成为一股潮流,其成本低、具有标准现场网络功能。(3)驱动技术:80年代发展起来的伺服驱动已成为主流驱动技术用于工业机器人中;新一代的伺服电机与基于微处理器的智能伺服控制器相结合,在远程控制中已采用了分布式智能驱动新技术。(4)应用智能化的传感器:装有视觉传感器的机器人数量呈上升趋势,不少机器人装有两种或以上传感器,有些机器人留了多种传感器接口。(5)网络通用方式:大部分机器人采用了Ether网络通讯方式,占总量的41.3,其它采用RS-232、RA-422、RS-485等通讯接口。(7)高速、高精度、多功能化(8)集成化与系统化:工业机器人技术要求应用从单机、单元向系统发展。百台以上的机器人群与微机及周边智能设备和操作人员形成一个大群体。作为从事过两年智能网络程控机器人项目研发的一员,笔者深感我们的技术在不断的进步,这些进步正是基于此我们不屑的努力,希望有一天我们的机器人能够被世人注目。笔者有幸亲身经历了中期项目的全部的开发过程,并在其中担负了一定的实际工作,尤其是在系统材料选型、应用,程序设计制作方面积累了一定经验,取得了一些收获。在此,本篇文章将结合作者的工作经验,从电子系统设计的选型,单元电路设计制作等方面详细论述。希望已经和可能成为我们项目组的成员以及有志于此方面的战友能踏着我的肩膀继续前进,把我们自己的机器人做得更好、更大、更强。2. 原理框图项目成员根据智能网络程控机器人(一期)的经验和教训,经过认真思考,仔细推敲,分析了各种传感器及电动机的特性,最终选择了适合的方案:用无线数传模块代替PC机,从而大大地降低了机器人的成本,使向产品化又迈进了一步。下图所示的就是本设计的原理的框架图。无线传输模 块个人计算机控制平台无线传输模 块机器人控制平台传感器阵列1号个人计算机控制台 N号个人计算机控制台2号个人计算机控制台利用TCP/IP协议构成局域网3. 项目特色智能化机器人除了具有智能网络程控机器人(一期)跟踪、远程控制所具有的功能外,还具有寻迹中排障,走地图以及音乐播放等功能:1. 寻迹中排障是首次将两个控制系统融合,可以提高系统的适应性;2.走地图可以依据实际的情况改变地图,从而完成复杂的巡逻功能;3.音乐播放为后台操作人员提供休闲娱乐工具从而提高了人机交互的友善性。在设计制作的过程中,我们也遇到了很多困难,通过我们不懈努力,最终还是克服种种困难,把我们的项目顺利按期完工。在设计电路时留有很多扩展空间。我们还有很多资源没有利用,这为以后扩展提供了可能:比如加上凌阳61板可以完成语音处理功能,让我们的机器人开口说话,更富有人的味道;如配备气体检测装置(气敏传感器)可以实现机器人的嗅觉系统,检测危险气体,我们的机器人就可以成为一个消防员,保护大家的生命财产安全。4.软件设计4.1软件设计思想 采用VB开发环境利用其控件实现后台PC机的人机交互界面以及通过串口与前台进行通讯的设想。界面设计以方便用户操作为主,选择优化的界面按钮设计,突出人性化的一面。下一步采用VC+|调用并口函数和硬件通信完成图象采集和处理的功能。4.2设计流程YYY4.2.1后台VB开发流程设计在此次项目中,需要利用VB开发环境完成以下几样工作:a、主控制窗口的设计以及编程,实现机器人的基本功能;b、走地图功能窗口的设计以及编程,实现机器人的走地图功能;c、音乐播放功能窗口的设计以及编程,扩展我们系统的实用性。此次项目中,VB程序开发任务重,不仅需要对多窗口相互调度有一定的了解,而且需要要有一定的图象处理的理论知识,因此,我们先参考一些别人的程序,但图象处理大多由C语言实现,我们在做这部分设计时,虽然花费了很大精力和时间,但结果还是令人满意的。通过此次项目的锻炼,我明显感觉到自己在编写程序方面有很大提高。后台VB开发流程图如下:4.2.2前端CPLD控制程序设计在这一部分中又加了许多传感器,其时序的调整增加了很大的难度,调试的时候也较困难。这部分开始采用Verilog,但后来为了统一标注,最终,采用了VHDL语言。通过实践,我感觉:先学习VerilogHDL比较好:Verilog HDL在硬件设计方面有许多有用的特性:Verilog HDL是一个通用的硬件描述语言,易学好用,其语法和C语言相似;Verilog HDL允许在同一个模块中有不同层次的描述共存;一般的逻辑综合工具普遍支持Verilog HDL许多的半导体制造商都提供Verilog HDL的函数库;程序语言接口(PLI)允许使用者可以用C语言撰写属于自己的Verilog HDL模拟器一是容易入门;接受Verilog HDL代码做后端芯片的集成电路厂家比较多,现成的硬核、固核和软核比较多。CPLD程序开发流程:4.3 界面设计431走地图窗口的设计上图有三个按扭:当按下运行时机器人将按照指定线路和图中的小车一起运行;当按下回到原点时图中的小车回到原点,但机器人不能自动返回原点;显示控制代码目的是为了调试方便而设计的。432主控制窗口的设计下图中的最下方的两个小图片是控件标志,黑色的部分是图象回传区,由摄像头采集的图象在这里显示。数据区是为了调试的方便而设计的,数据区显示操作中的数据,以便检查哪一部分出错。基本功能控制区的按钮中设有优先级,在点击前进时就将后退按钮屏蔽了。在扩展功能区内, 我们现在已经实现了走地图和音乐播放功能,其他功能还有待进一步开发。433音乐播放窗口的设计 音乐播放窗口如下图他可以支持 .WAV文件、.MP3文件、.AVI文件的播放。音乐播放窗口可以与其他窗口同时打开,使操作人员在工作的同时享受美妙的音乐。另外,本软件是纯绿色软件不需要安装程序,方便快捷。4.4程序设计及软件调试过程441 VB开发的走地图程序Dim step1, step2, step As SingleConst pi = 3.1415926Dim flag As IntegerPrivate Sub Command1_Click() 运行Timer1.Enabled = Truestep1 = 1step2 = 1step = pi / 100flag = 0End SubPrivate Sub Command2_Click() 回原点flag = 1End SubPrivate Sub Command3_Click()Unload MeEnd SubPrivate Sub Form_Load() 定义坐标MSComm1.PortOpen = TruePicture1.Scale (-50, 50)-(40, -40)End SubPrivate Sub drawpic1() 画轨道Picture1.Line (-30, 33)-(-10, 33), RGB(255, 0, 0)Picture1.Line (0, 20)-(0, 10), RGB(0, 255, 0)Picture1.Circle (0, 0), 10, RGB(0, 0, 255), pi / 2, 3 * pi / 2, 0.6Picture1.Circle (-10, 20), 10, RGB(255, 255, 0), 0, pi / 2End SubPrivate Sub drawpic2(t, p) 小车Picture1.Line (t, p)-(t + 2, p + 2), , BFEnd SubPrivate Sub Timer1_Timer()Static m, n, k, q As IntegerDim i As Single x = -30 + m * step1 y = 20 - n * step2 If x = -10 Then M控制走水平 m = m + 1 t = -30 + m * step1 p = 33 Picture1.Cls Call drawpic1 Call drawpic2(t, p) Label1.Left = t Label1.Top = p - 2 Label1.Caption = 前进 x = 11000010 MSComm1.Output = x Text1.Text = x ElseIf q = 10 * 0.6 Then Y 控制竖直 n = n + 1 t = 0 p = 20 - n * step2 Label1.Left = t Label1.Top = p Label1.Caption = 前进 x = 11000010 MSComm1.Output = x Text1.Text = x Picture1.Cls Call drawpic1 Call drawpic2(t, p) ElseIf k 100 Then K控制走半椭圆 k = k + 1 t = 10 * Cos(k * step + pi / 2) p = 10 * Sin(k * step + pi / 2) Label1.Left = t Label1.Top = p Label1.Caption = 走椭圆 x = 11001010 MSComm1.Output = x Text1.Text = x Picture1.Cls Call drawpic1 Call drawpic2(t, p) End If If flag = 1 Then 回原点代码 m = 0 n = 0 k = 0 q = 0 Picture1.Cls Call drawpic1 Call drawpic2(-30, 33) Timer1.Enabled = False End IfEnd Sub4.4.2 VB软件调试过程此次项目中,VB程序开发任务重,首次要对多个窗口编程,这就要求对窗口相互调度次序有一定的了解,而且涉及到了图象处理的理论知识,所以我们采用模块化思想,先对整个项目进行了划分,然后各个击破,最后把各个部分整合起来,效果是令人满意的。4.4.3 VB开发主控制窗口程序(源代码略)4.4.4 VB开发音乐播放窗口程序(源代码略)4.4.5 VB串口发送接受程序(源代码略)4.4.6 单片机串转并程序(源代码略)4.4.7 CPLD控制程序(源代码略)4.4.8 AVR单片机控制机械臂程序(源代码略)5电机驱动5.1 基于多级放大电路的驱动电路原理图但是经过反复测试,发现其能完成PWM调速,正反转的功能,但它可靠性比较低,发热量较大,很容易烧坏芯片,故,此方案不可取。 5.2 基于专用驱动芯片LMD18200的电机控制方案本系统初期打算选用PWM控制电机,因为它比较灵活、速度可调,可控制性好,采用主控芯片为LMD18200。LMD18200是美国国家半导体公司(NS)推出的专用于直流电动机驱动的H桥组件。同一芯片上集成有CMOS控制电路和DMOS功率器件,利用它可以与主处理器、电机和增量型编码器构成一个完整的运动控制系统。主要性能:峰值输出电流高达6A,连续输出电流达3A;工作电压高达55V;TTL/CMOS兼容电平的输入;具有温度报警和过热与短路保护功能芯片结温达145,结温达170时,芯片关断;具有良好的抗干扰性。 LMD18200工作原理:内部集成了四个DMOS管,组成一个标准的H型驱动桥。通过充电泵电路为上桥臂的2个开关管提供栅极控制电压,充电泵电路由一个300kHz左右的工作频率。可在引脚1、11外接电容形成第二个充电泵电路,外接电容越大,向开关管栅极输入的电容充电速度越快,电压上升的时间越短,工作频率可以更高。引脚2、10接直流电机电枢,正转时电流的方向应该从引脚步到引脚10;反转时电流的方向应该从引脚10到引脚2。电流检测输出引脚8可以接一个对地电阻,通过电阻来输出过流情况。内部保护电路设置的过电流阈值为10A,当超过该值时会自动封锁输出,并周期性的自动恢复输出。如果过电流持续时间较长,过热保护将关闭整个输出。过热信号还可通过引脚9输出,当结温达到145度时引脚9有输出信号。 LMD18200芯片原理图(如下图):LMD18200工作电路原理图(如下图): 它具有PWM调制、刹车,以及温度过高报警输出的功能,是控制电机的一种比较理想的方案。但是,由于我LMD18200仅有两片,而且所需要的电压较高,可靠性难以保证。故,此方案也不很成熟。5.3 基于现成PWM模块及继电器方案 本电路有三级构成:第一级为74门、第二级为光藕,第三级为PWM模块及继电器。 加了一级加74门电路的原因是:由于CPLD的IO口多平均带负载能力比较低,不能带动需要大电流的工作的光藕芯片,从而减轻CPLD的工作电流;加光藕原因是:为了防止电机在开启、关断瞬间产生的大电流对前端电路的影响,实现在电气层上将前端控制系统和后端执行系统割开。从上可知:我们采用方案三,因为它具有很大的扭距,能很轻松的完成所要求的任务6设计说明6.1操作说明我们所做的智能网络机器人实现的主要功能有远程控制、寻迹功能、跟踪功能、走地图功能和音乐播放功能。远程控制功能主要是通过以下流程实现。用户打开控制界面,点击控制界面的基本按钮(前进、后退、左转、右转、停止、回中等)。由远程控制端的计算机通过无线发射模块发送信号到前端,由51单片机将串行信号通过串并转换电路转化为并行的信号,然后将信号输入到可编程逻辑器。可编程逻辑器产生脉宽调制信号,该信号控制驱动电路和声光报警系统,实现远程控制。跟踪功能的实现流程:用户打开主控界面,点击控制界面的跟踪控制按钮。由远程控制端发送权限信号到可编程逻辑器。可编程逻辑器接收权限,检测传感器采集到的信号进行识别和判断,产生控制信号。控制信号控制驱动电路和声光报警系统,自动跟踪目标物体,实现跟踪功能。寻迹功能的实现流程:用户打开主控界面,点击控制界面的寻迹控制按钮。由远程控制端发送权限信号到可编程逻辑器。可编程逻辑器接收权限,检测传感器采集到的信号进行识别和判断,产生控制信号。控制信号控制驱动电路和声光报警系统,自动根据事先做好的路线移动,在移动的过程中,能够自动将正前方障碍物排除。走地图功能的实现流程:用户打开走地图控制界面,点击控制界面的走地图控制按钮。根据VB程序事先设定好的路线,发相应的命令。可编程逻辑器接收权限,直接控制电机的运动,实现走地图功能。音乐播放功能的实现流程:用户打开音乐播放控制界面,点击控制界面的音乐播放控制按钮。VB调用系统中音乐播放控件,自动播放。6.2前景设计我们此次只完成了这个设计的中期工作,搭建了一个机器人平台,机器人可以完成走地图、跟踪、寻迹、排障任务,动作比较灵活,下一步还有许多工作要做:1、加入语音处理功能。机器人要开口说话,并且能听懂人类的语言

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

最新文档

评论

0/150

提交评论