硬件描述语言及器件实验指导实验指导书最终版.doc_第1页
硬件描述语言及器件实验指导实验指导书最终版.doc_第2页
硬件描述语言及器件实验指导实验指导书最终版.doc_第3页
硬件描述语言及器件实验指导实验指导书最终版.doc_第4页
硬件描述语言及器件实验指导实验指导书最终版.doc_第5页
已阅读5页,还剩22页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

硬件描述语言及器件实 验 指 导 书电子科学与技术专业组实验一 用硬件描述语言的方法设计一个三输入与门电路一、实验目的1、掌握MAX+plusII的使用方法。2、掌握VHDL语言程序的基本结构。2、掌握使用硬件描述语言设计数字电路的方法和步骤。3、掌握用硬件描述语言设计三输入与门电路的方法。二、实验器材1.台式计算机 1台。2.可编程逻辑逻辑器件实验软件1套。3.下载电缆一套。4.示波器一台。三、实验说明1台式计算机用于向可编程逻辑逻辑器件实验软件提供编程、仿真、下载的平台,供用户使用。2可编程逻辑逻辑器件实验软件向原理图的设计提供平台,并将调试好的原理图下载到可编程逻辑逻辑器件中。3下载电缆是可编程逻辑器件软件和可编程逻辑逻辑器件之间的接口电缆,为了便于区别,用不同颜色导线区分下载电缆的电源、地和信号,一般用红色导线接电源,用黑色导线接地。4示波器用于观察可编程逻辑器件执行程序时输出信号的变化。四、实验内容与要求用硬件描述语言的方法设计一个三输入与门电路,要求:(1)根据三输入与门的逻辑表达式,在构造体中直接使用并行赋值语句实现,并进行仿真;(2)根据三输入与门的真值表,在构造体中使用进程语句实现,并进行仿真;五、实验步骤(下面七个的实验的软件的使用具体步骤跟本次试验一样不再详述)1.打开新建菜单选择“text edtior file”,这个文件是写程序编程用的。2.程序写完后点“保存”,注意(1)保存的格式是.vhd,(2)保存的地址不能是桌面和硬盘的根目录,否则在后面的编程将出现错误。3.在fileprojectset project to current file ,这步是将文件设置在工程里,能够正常编译和下载。4.在“assigndevice”选择下载设备的芯片型号,如果芯片型号选错将导致以后能编译成功但下载到实验箱时无法正常工作。5.在“max+plux”中选择“compiler”进行编译。6.在下载到实验箱之前先进行仿真观察结果是否满足实验要求,打开“MAX+PLUSwaveform editor”做波形仿真7.点击鼠标右键选择“enter nodes from SNF”,选择输入A,B,C和输出管脚F,点击8.在fileprojectset project to current file ,这步是将文件设置在工程里,能够进行正常的仿真,否则后面的过程将不能进行9.点击如图所示按键设置输入管脚A,B,C的时钟周期,点击“MAX+PLUS”中simulator 进行仿真,观察结果是否和预设的一样。10.仿真结果正确后设置实验箱芯片,点击“MAX+PLUS”中Floorplan editor,将输入管脚A,B,C和输出管脚F拖到芯片管脚中的I/O管脚中11.点击“MAX+PLUS”中programmer,在hardware type 中选择byte blaster(mv),parallel port 选择LPT1 进行下载。其他方式都会出现错误。六、实验报告要求1、整理实验结果,填写实验报告。2、小结实验心得体会。实验二 用硬件描述语言的方法设计一个三-八译码器一、实验目的1.掌握IF语句和CASE语句的使用方法。2.掌握用硬件描述语言设计三-八译码器的方法。二、实验器材1.台式计算机 1台。2.可编程逻辑逻辑器件实验软件1套。3.下载电缆一套。4.示波器一台。三、实验说明1台式计算机用于向可编程逻辑逻辑器件实验软件提供编程、仿真、下载的平台,供用户使用。2可编程逻辑逻辑器件实验软件向原理图的设计提供平台,并将调试好的原理图下载到可编程逻辑逻辑器件中。3下载电缆是可编程逻辑器件软件和可编程逻辑逻辑器件之间的接口电缆,为了便于区别,用不同颜色导线区分下载电缆的电源、地和信号,一般用红色导线接电源,用黑色导线接地。4示波器用于观察可编程逻辑器件执行程序时输出信号的变化。四、实验内容与要求根据三-八译码器的真值表,用硬件描述语言的方法设计一个三-八译码器,要求:(1)使用IF语句实现,并进行仿真;(2)使用CASE语句实现,并进行仿真;五、实验步骤1.打开MAX+PLUS软件,点击“file”中“new”建立“text edtior file”文档并输入该实验的程序。2.选择菜单“File”“Save As”,选择为自己建立的工程文件夹,将已编程好的程序保存(注意后缀是.vhd)在此文件夹内。注意:文件夹名不能用中文,且不可带空格。3.在“project”中设置“set project to current file”把文档设置在工程下,否则会在时出现错误。4.选择“Assign”菜单中“Device”项,弹出Device对话框,在Device对话框中选择实验箱上的芯片型号。注意如果芯片型号选择错误,后面的过程一定会出现错误。5.选择“max+plus”菜单中“complier”对实验程序进行编译,检查程序是否有错误。出现warning时有时可以忽略不计,但出现错误一定要及时修改直至无错误出现。6.选择“max+plus”菜单中“waveform editor”,将设置输入、输出管脚的初值和其周期,观察结果是否符合实验要求,如不符合要修改程序来满足实验要求。7.在“project”中设置“set project to current file”把文档设置在程序编程所在的工程下,否则会在时出现错误。8.选择“MAX+PLUS”中“Floorplan editor”,设置输入、输出管脚在芯片I/O上。9.选择菜单MAX+plus中“Programmer”,同时在Options菜单上选中 Hardware Setup,在Hardware Type 中,选择ByteBlaster,在Parallel Port中,选择LPT1,然后按OK,此时硬件连接完毕。观察实验箱结果是否符合实验要求,并记录实验结果。六、实验报告要求1、整理实验结果,填写实验报告。2、小结实验心得体会。实验三 用硬件描述语言的方法设计一个D触发器一、实验目的1、掌握同步复位和异步复位的实现方法。2、掌握用硬件描述语言表示上升沿和下降沿的方法。3、掌握用硬件描述语言设计D触发器的方法。二、实验器材1.台式计算机 1台。2.可编程逻辑逻辑器件实验软件1套。3.下载电缆一套。4.示波器一台。三、实验说明1台式计算机用于向可编程逻辑逻辑器件实验软件提供编程、仿真、下载的平台,供用户使用。2可编程逻辑逻辑器件实验软件向原理图的设计提供平台,并将调试好的原理图下载到可编程逻辑逻辑器件中。3下载电缆是可编程逻辑器件软件和可编程逻辑逻辑器件之间的接口电缆,为了便于区别,用不同颜色导线区分下载电缆的电源、地和信号,一般用红色导线接电源,用黑色导线接地。4示波器用于观察可编程逻辑器件执行程序时输出信号的变化。四、实验内容与要求用硬件描述语言的方法设计一个D触发器,要求:(1)实现异步复位/置位、上升沿触发的D触发器,并进行仿真;(2)实现同步复位/置位、下降沿触发的D触发器,并进行仿真;五、实验步骤1.打开MAX+PLUS软件,点击“file”中“new”建立“text edtior file”文档并输入该实验的程序。2.选择菜单“File”“Save As”,选择为自己建立的工程文件夹,将已编程好的程序保存(注意后缀是.vhd)在此文件夹内。注意:文件夹名不能用中文,且不可带空格。3.在“project”中设置“set project to current file”把文档设置在工程下,否则会在时出现错误。4.选择“Assign”菜单中“Device”项,弹出Device对话框,在Device对话框中选择实验箱上的芯片型号。注意如果芯片型号选择错误,后面的过程一定会出现错误。5.选择“max+plus”菜单中“complier”对实验程序进行编译,检查程序是否有错误。出现warning时有时可以忽略不计,但出现错误一定要及时修改直至无错误出现。6.选择“max+plus”菜单中“waveform editor”,将设置输入、输出管脚的初值和其周期,观察结果是否符合实验要求,如不符合要修改程序来满足实验要求。7.在“project”中设置“set project to current file”把文档设置在程序编程所在的工程下,否则会在时出现错误。8.选择“MAX+PLUS”中“Floorplan editor”,设置输入、输出管脚在芯片I/O上。9.选择菜单MAX+plus中“Programmer”,同时在Options菜单上选中 Hardware Setup,在Hardware Type 中,选择ByteBlaster,在Parallel Port中,选择LPT1,然后按OK,此时硬件连接完毕。观察实验箱结果是否符合实验要求,并记录实验结果。六、实验报告要求1、整理实验结果,填写实验报告。2、小结实验心得体会。实验四 用硬件描述语言的方法设计一个分频器一、实验目的1、掌握各种分频器的设计思路2、掌握用硬件描述语言设计各种分频器的方法二、实验器材1.台式计算机 1台。2.可编程逻辑逻辑器件实验软件1套。3.下载电缆一套。4.示波器一台。三、实验说明1台式计算机用于向可编程逻辑逻辑器件实验软件提供编程、仿真、下载的平台,供用户使用。2可编程逻辑逻辑器件实验软件向原理图的设计提供平台,并将调试好的原理图下载到可编程逻辑逻辑器件中。3下载电缆是可编程逻辑器件软件和可编程逻辑逻辑器件之间的接口电缆,为了便于区别,用不同颜色导线区分下载电缆的电源、地和信号,一般用红色导线接电源,用黑色导线接地。4示波器用于观察可编程逻辑器件执行程序时输出信号的变化。四、实验内容与要求用硬件描述语言的方法设计一个分频器,要求:(1)实现四分频器,并进行仿真;(2)实现五分频器,并进行仿真;五、实验步骤1.打开MAX+PLUS软件,点击“file”中“new”建立“text edtior file”文档并输入该实验的程序。2.选择菜单“File”“Save As”,选择为自己建立的工程文件夹,将已编程好的程序保存(注意后缀是.vhd)在此文件夹内。注意:文件夹名不能用中文,且不可带空格。3.在“project”中设置“set project to current file”把文档设置在工程下,否则会在时出现错误。4.选择“Assign”菜单中“Device”项,弹出Device对话框,在Device对话框中选择实验箱上的芯片型号。注意如果芯片型号选择错误,后面的过程一定会出现错误。5.选择“max+plus”菜单中“complier”对实验程序进行编译,检查程序是否有错误。出现warning时有时可以忽略不计,但出现错误一定要及时修改直至无错误出现。6.选择“max+plus”菜单中“waveform editor”,将设置输入、输出管脚的初值和其周期,观察结果是否符合实验要求,如不符合要修改程序来满足实验要求。7.在“project”中设置“set project to current file”把文档设置在程序编程所在的工程下,否则会在时出现错误。8.选择“MAX+PLUS”中“Floorplan editor”,设置输入、输出管脚在芯片I/O上。9.选择菜单MAX+plus中“Programmer”,同时在Options菜单上选中 Hardware Setup,在Hardware Type 中,选择ByteBlaster,在Parallel Port中,选择LPT1,然后按OK,此时硬件连接完毕。观察实验箱结果是否符合实验要求,并记录实验结果。六、实验报告要求1、整理实验结果,填写实验报告。2、小结实验心得体会。3、回答思考题分频器在数字系统的设计中主要作用是什么,具体的应用有哪些?实验五 用硬件描述语言的方法设计一个状态机一、实验目的1、掌握MOORE状态机和MEALY状态机的功能和特点;2、掌握用硬件描述语言设计状态机的方法。二、实验器材1.台式计算机 1台。2.可编程逻辑逻辑器件实验软件1套。3.下载电缆一套。4.示波器一台。三、实验说明1台式计算机用于向可编程逻辑逻辑器件实验软件提供编程、仿真、下载的平台,供用户使用。2可编程逻辑逻辑器件实验软件向原理图的设计提供平台,并将调试好的原理图下载到可编程逻辑逻辑器件中。3下载电缆是可编程逻辑器件软件和可编程逻辑逻辑器件之间的接口电缆,为了便于区别,用不同颜色导线区分下载电缆的电源、地和信号,一般用红色导线接电源,用黑色导线接地。4示波器用于观察可编程逻辑器件执行程序时输出信号的变化。四、实验内容用硬件描述语言的方法设计一个状态机,要求:(1)按照图1所示的状态转换图设计状态机,并进行仿真;(2)按照图2所示的状态转换图设计状态机,并进行仿真; 图1 状态转换图1 图2 状态转换图2五、实验步骤1.打开MAX+PLUS软件,点击“file”中“new”建立“text edtior file”文档并输入该实验的程序。2.选择菜单“File”“Save As”,选择为自己建立的工程文件夹,将已编程好的程序保存(注意后缀是.vhd)在此文件夹内。注意:文件夹名不能用中文,且不可带空格。3.在“project”中设置“set project to current file”把文档设置在

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

最新文档

评论

0/150

提交评论