已阅读5页,还剩13页未读, 继续免费阅读
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
沈阳理工大学专用纸 沈阳理工大学 i 成 绩 评 定 表 学生姓名班级学号 专 业课程设计题目四位二进制同步加法器 (缺 0000,0001,0100,0101) 评 语 组长签字: 成绩 日期 20 年 月 日 沈阳理工大学专用纸 沈阳理工大学 ii 课程设计任务书课程设计任务书 学 院专 业 学生姓名班级学号 课程设计题目四位二进制同步加法计数器(缺 0000,0001,0100,0101) 实践教学要求与任务实践教学要求与任务: : 1.了解数字系统设计方法。 2.熟悉 ise 仿真环境及 vhdl 下载。 3.熟悉 multisim 仿真环境。 4.设计实现四位二进制同步加法计数器(缺 0000,0001,0100,0101) 工作计划与进度安排工作计划与进度安排: : 第一周:熟悉 multisim 及 xilinx 及 xilinx ise 环境,练习数字系统设计方法 第二周:(1)在 ise 环境中仿真实现四位二进制同步加法计数器(缺 0000,0001,0100,0101)。 (2)在 multisim 环境中仿真实现四位二进制同步加法计数器(缺 0000,0001,0100,0101),并通过虚拟仪器验证其正确性。 指导教师: 201 年 月 日 专业负责人: 201 年 月 日 学院教学副院长: 201 年 月 日 沈阳理工大学专用纸 沈阳理工大学 iii 目录目录 一、课程设一、课程设计计目的目的1 二、设计框图二、设计框图1 三、三、实现实现过程过程1 1、xilinx ise10.1 实现过程实现过程(vhdl)1 1、1、建立工程2 1、2、调试程序4 1、3、波形仿真5 1、4、引脚锁定与下载7 1、5、仿真结果分析10 2 2、multisim10multisim10 实现过程实现过程( (电路设计电路设计) ).10 2、1、设计原理10 2、2、基于 multisim 的设计电路.13 2、3、虚拟观察的波形14 2、4、仿真结果分析14 四、四、设计总结设计总结15 五、参考文献五、参考文献15 沈阳理工大学专用纸 沈阳理工大学 - 1 - 四位二进制 加法计数器 一一. .课程设计的目的课程设计的目的 1.了解数字系统设计原理及方法。 2.熟悉 xillinx ise 仿真环境及 vhdl 下载。 3.熟悉 mutisim 仿真环境。 4.设计实现(四位二进制加法计数器(缺 0000,0001,0100,0101) ) 。 二、设计框图二、设计框图 输入计数器脉冲 cpc 送给高位的进位信息 由题目可知,无效状态为 0000、0001、0100、0101 根据二进制递增计数的 规律,可看出状态图如图 1 所示。 0010 0011 0110 0111 1000 1001 1111 1110 1101 1100 1011 1010 图 1 状态图 三、实现过程三、实现过程 1、xilinx ise10.1 实现过程实现过程(vhdl) 代码 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity count16 is port (cp,r:instd_logic; q:out std_logic_vector(3 downto 0) ); end count16; 沈阳理工大学专用纸 沈阳理工大学 - 2 - architecture behavioral of count16 is signal count:std_logic_vector(3 downto 0) ; begin process (cp,r) begin if r=0 then countnext 直至 finish。 沈阳理工大学专用纸 沈阳理工大学 - 3 - 沈阳理工大学专用纸 沈阳理工大学 - 4 - 1、2、调试程序 右击 xc95108-15pc84,选 new source,再选 vhdl module 后,填加文件名(例:file name:hll)next( port name 中随便填 a)finish。 沈阳理工大学专用纸 沈阳理工大学 - 5 - 写入程序,保存程序 双击 implement design(或右键 run) ,运行程序,调试成功显示如下: 1、3、波形仿真 回到 vi.vhd 界面,右键点击 v1 - behavioral(v1.vhd),选 new sourcetest bench waveformfile name:t1( 测试波形文件名 tt),next(连接 v1) nextfinish 沈阳理工大学专用纸 沈阳理工大学 - 6 - 左侧 sources for 栏内选择 behavioral simulation,选择 tt ,打开 processes 下的 xilinx ise simulator 如图 沈阳理工大学专用纸 沈阳理工大学 - 7 - 点击 simulate behavioral model(或右键 run)运行仿真波形,如下时序图 1、4、引脚锁定与下载 左上侧 source for 选项中选择 synthesis/implementation,左下侧 processesuser constraintsassign package pins 分配引脚:cp-key1,r-sw1,q3-l1,q2-l2,q1-l3,q0-l4。 点击保存,ok。 沈阳理工大学专用纸 沈阳理工大学 - 8 - 回到 ise :processesimplement designoptional implementation tools 双击 lock pins 锁定引脚 processesimplement design双击 generate programming fileconfigure device(impact) ,默认 jtag,finishi,v1.jed open 沈阳理工大学专用纸 沈阳理工大学 - 9 - 右键点绿progaramok,结束下载。 (调试时 sw 向上是 1;灯亮为 1) 沈阳理工大学专用纸 沈阳理工大学 - 10 - 1、5、仿真结果分析 因为我的题目是四位二进制减法计数器(0000,0001,0100,0101) , 所以计数器是从 15 直接跳变成 2,再从 3 直接跳变成 5,其余的数正常跳变, 而根据波形仿真图可以十分清楚地看出波形跳变过程,符合开始的设计框图。 2 2、multisim10multisim10 实现过程实现过程 2、1、设计原理 1.选择触发器 选用由于 jk 触发器功能齐全、使用灵活,在这里选用 4 个 cp 下降沿触发的边沿 jk 触发 器。 2.求时钟方程、状态方程 (1)求时钟方程 采用同步方案,故取 cp0=cp1=cp2=cp3=cp。 cp 是整个要设计的时序电路的输入时钟脉冲。 沈阳理工大学专用纸 沈阳理工大学 - 11 - (2)求状态方程 由图 1 所示状态图可直接画出如图 2 所示电路次态的卡诺图,再分解 1 3 n q 1 2 n q 1 1 n q 1 0 n q 开便可以得到如图 2 所示各触发器的卡诺图。 n q1 n q0 n q3 n q2 00011110 00xxxx0010xxxx0011 01xxxx011010000111 1111011110xxxx1111 101001101011001011 图 2 次态的卡诺图 1 3 n q 1 2 n q 1 1 n q 1 0 n q n q1 n q0 n q3 n q2 00011110 00x0x0 01x010 1111x1 101111 (a) 沈阳理工大学专用纸 沈阳理工大学 - 12 - n q1 n q0 n q3 n q2 00011110 00x0x0 01x101 1111x1 100010 (b) n q1 n q0 n q3 n q2 00011110 00x1x1 01x101 1101x1 100101 (c) n q1 n q0 n q3 n q2 00011110 00x0x1 01x001 1110x1 101001 (d) 图 3 各触发器的卡诺图 (a)的卡诺图(b)的卡诺图(c)的卡诺图(d)的卡诺图 1 3 n q 1 2 n q 1 1 n q 1 0 n q 显然,由图 3 所示各卡诺图便可很容易地得到 沈阳理工大学专用纸 沈阳理工大学 - 13 - (1) qq qqqqq qqqqqqq qqqqq nn nnnnn nnnnnnn nnnnn 0 1 0 1010 1 1 201201 1 2 3301 1 3 4.求驱动方程 jk 触发器的特性方程为 nnn qkqjq 1 与特性方程做比较,可得 (2) 1 0 0 0 0 1 1 01 2 2 3 013 kj qkj qqkj k qqj n nn nn 2、2、基于 multisim 的设计电路 根据所选用的触发器和时钟方程、输出方程、驱动方程,便可以画出如图 5 所示的逻辑 电路图。 图 4 逻辑电路图 沈阳理工大学专用纸 沈阳理工大学 - 14 - 2、3、虚拟观察的波形 图 5 逻辑分析图 检查电路能否自启动 将无效状态 0000、0001、0100、0101 代入式(1)进行计算,结果如下: 0000 00010010(有效状态) 0100 01010110(有效状态) 可见,所设计的时序电路能够自启动。 2、4、仿真结果分析 我的题目是四位二进制加法计数器(缺 0000,0001,0100,0101) ,在 multisim 中,计数器也 是从 15 直接跳变成 2,再从 3 直接跳变成 5,其余的数正常跳变,小灯根据数字的变化 有规律地亮灭,例如,当计数器加到 1001 是,会出现以下现象:从左数第一个和第四个 小灯是亮的,而第二个和第三个小灯是灭的,以此类推。而当最后计数器加到 1111 时, 要进行进位,变成 0000,这个时候表示进位的绿灯会变亮。而且时序图会按照设计的那 样进行,类似于 ise 的仿真波形图。 沈阳理工大学专用纸 沈阳理工大学 - 15 - 四、设计总结四、设计总结 1.实验中遇到的问题: 在用 multisim 做仿真波形图时,最开始检查的仿真结果是错的,波形不对。 2.解决办法: 为了解决问题,我又从画卡诺图开始重新算,一步一步检查,后来发现的卡诺 1 2 n q 图上的一个 1 忘记画圈了,结果就造成了少了一个项,波形图和我的题目的波形不 1 2 n q 符合。然后我重新求出驱动方程,也就是把加上一项,另外的驱动方程不用改,结 1
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 2024简易广告合作合同范本
- 2024年加盟便利店供货合同范本
- 2024年集资参与人的谅解协议书模板
- 2024年一方房子出租协议书模板
- 云南省西双版纳傣族自治州(2024年-2025年小学五年级语文)统编版小升初真题(上学期)试卷及答案
- 云南省玉溪市(2024年-2025年小学五年级语文)统编版能力评测((上下)学期)试卷及答案
- 2024房产按揭借款合同
- 《中国税收:税费计算与申报(第4版)》项目十四 企业所得税计算与申报
- 微贷客户的实地调查课件
- 2024碎石加工合同
- 山东省济南市槐荫区2024-2025学年九年级物理上学期期中试题+
- 八上语文古诗词理解性默写
- 2024年高考生物总复习必修一必修二必修三选修三全册重点知识总结(完整版)
- 湖南省长沙市雅礼集团2024-2025学年九年级上学期11月期中英语试题
- 2023年 评审准则质量记录手册表格汇编(101个)
- 2024年度采购合同管理程序指南
- GB/T 44693.1-2024危险化学品企业工艺平稳性第1部分:管理导则
- 湖南省三湘名校教育联盟2023-2024学年高二下学期4月期中联考地理试题
- 2024秋期国家开放大学专科《现代教师学导论》一平台在线形考(形成性考核任务一至四)+终结性考核(大作业)试题及答案
- 2024年银行考试-征信人员考试近5年真题附答案
- 世界一流港口综合评价报告
评论
0/150
提交评论