毕业论文 基于单片机的电梯控制系统的设计与实现.doc_第1页
毕业论文 基于单片机的电梯控制系统的设计与实现.doc_第2页
毕业论文 基于单片机的电梯控制系统的设计与实现.doc_第3页
毕业论文 基于单片机的电梯控制系统的设计与实现.doc_第4页
毕业论文 基于单片机的电梯控制系统的设计与实现.doc_第5页
已阅读5页,还剩52页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

美丽天使精品论文 /lovehpower 第 1 页 前 言 电梯已成为人类现代生活中广泛使用的运输工具,人们对电梯安全性,高 效性,舒适性的不断追求推动了电梯技术的进步。目前,在电梯上使用较广的 是可编程序控制,简称 plc,而采用单片机的话在接口性能和计算速度等方面 均有资源不足的问题,仍然不能适应较复杂的控制算法和故障诊断等要求,但 利用单片机控制电梯具有成本低,通用性强,灵活性大及易于实现复杂控制等 优点。 该设计主要能实现对各楼层用户按钮信号的检测和处理,按预定的运行规则 和程序,发出控制信号对电机进行调节,从而控制电梯的启停、速度;电梯运行 所在楼层指示、设有电梯所处位置指示装置以及电梯运行模式(上升或下降) 指示装置、关门延时设置、电梯到达有停站请求楼层,经过 1 秒电梯门打开, 开门 4 秒后,电梯门关闭(开门指示灯熄灭) ,电梯继续运行,直至执行完最 后一个请求信号后停留在当前楼层、每一层电梯的入口处设有上下请求开关, 电梯内设有顾客到达层次的停站请求开关、看门狗报警、超载报警、故障报警 等。 美丽天使精品论文 /lovehpower 第 i 页 摘 要 单片机控制电梯有成本低,通用性强,灵活性大及易于实现复杂控制等优 点。故本文采用 89c51 单片机对电梯进行控制。本设计主要利用单片机对电梯 的轿厢内呼梯输入模块,轿厢外呼梯输入模块,重量检测模块,轿厢位置检测 模块,其他信号输入模块进行处理,实现轿内外呼梯信号的处理,轿内外呼梯 信号的显示,电机正反转的控制,轿厢门的开关控制等。显示部分本设计采用 1602 液晶显示来实现。因为 1602 液晶显示屏是以若干个 5x8 点阵块组成的显 示字符群,具有模块结构紧凑、轻巧、装配容易,低功耗、长寿命、高可靠性 等特点。 关键字:电梯 单片机 液晶显示 abstract the monolithic integrated circuit control elevator has the cost to be low, versatile, the flexibility big and easy to realize merits and so on plurality of controls. therefore this article uses the 89c51 monolithic integrated circuit to carry on the control to the elevator. this design mainly uses the monolithic integrated circuit to shout the ladder load module to elevators sedan theater box, outside the sedan theater box shouts the ladder load module, the weight examination module, the sedan theater box position examination module, other signal input module carries on processing, realizes inside and outside the sedan to shout the ladder signal processing, the sedan inside and outside shouts the ladder signal the demonstration, the electrical machinery the control which reverses, the sedan theater box gate stop-go control and so on. demonstrated that part this design uses 1602 liquid crystal displays to realize. because 1602 liquid crystal display monitors are by certain 5x8 lattice block composition demonstration character group, has the modular structure compact, dexterous, the assembly to be easy, low characteristics and so on power loss, long life, redundant reliability. key words:elevator monolithic integrated circuit liquid crystal display 美丽天使精品论文 /lovehpower 第 i 页 目 录 前 言 .1 摘 要 i abstract.ii 目 录 i 1.1 开发背景 .1 1.1.1 基于单片机的电梯控制模型主要特色 2 1.1.2 电梯控制方案比较 2 1.2 任务概述 .3 第二章 设计的整体方案 .4 2.1 控制系统硬件组成 .4 2.1.1 89c51 介绍 4 2.1.2 1602 液晶显示介绍 6 2.1.3 压敏电阻介绍 .7 2.2 系统结构图 .9 2.3 系统详解 .9 2.3.1 供电电源模块 .10 2.3.2 轿厢位置检测模块 .10 2.3.3 重量检测模块 .10 2.3.4 电机控制模块 .10 2.3.5 轿内外呼梯信号处理 .11 2.3.6 轿内外呼梯信号显示模块 .11 2.3.7 中断信号处理 .11 2.3.8 轿厢开关门 .11 第三章 系统硬件设计 .12 3.1 呼叫及选层 .12 3.2 显示功能模块的实现 .14 3.3 供电模块 .14 3.4 单片机复位电路 .15 3.5 位置检测模块 .16 3.6 超重检测模块与超重报警 .16 3.7 电机上下转设计 .18 3.8 轿厢开关门设计 .18 第四章 系统软件设计 .19 4.1 主流程图 .19 4.2 安全等待流程 .20 4.3 故障处理流程 .21 4.4 轿厢内要梯处理流程 .23 4.5 轿厢外要梯处理流程 .24 4.6 电梯关开门处理 .25 4.7 显示输出 .26 4.8 键盘扫描 .30 第五章 系统可靠性分析及抗干扰措施 .35 5.1 破坏电梯设备的噪音来源 .35 5.2 抗干扰的措施 .35 5.2.1 把交流电线分开 35 5.2.2 减小分布电容 36 5.3 软件抗干扰措施 .37 第六章 毕业设计小结 .39 致 谢 .40 参考文献 .41 附录一 .42 附录二 .43 美丽天使精品论文 /lovehpower 第 1 页(总 57 页) 第一章 绪论 1.1 开发背景 随着现代高科技的发展,住房和办公用楼都已经逐渐向高层发展。电梯是 高层宾馆、商店、住宅、多层仓库等高层建筑不可缺少的垂直方向的交通运输 工具。1889 年美国奥梯斯升降机公司推出的世界上第一部以电动机为动力的升 降机,同年在纽约市马累特大厦安装成功。随着建筑物规模越来越大,楼层也 越来越高,对电梯的调速精度、调速范围等静态和动态特性都提出了更高的要 求。由于传统的电梯运行逻辑控制系统采用的是继电器逻辑控制线路。采用这 种控制线路,存在易出故障、维护不便、运行寿命较短、占用空间大等缺点。从 技术发展来看,这种系统将逐渐被淘汰。 目前电梯控制模型大多采用可编程控制器(plc)智能控制,交流变频调速驱 动,其硬件结构的组成及功能与实电梯基本相同,事实上可以把它看作是小型 化了的真实电梯。具有自动平层、自动关门、顺向响应轿内外呼梯信号、直驶、 电梯安全运行保护以及电梯急停、检修、慢上、慢下、照明、风扇等功能。且 具有性能可靠、运行平稳、操作简单、能耗低和便于教学等特点。而采用单片 机的话在接口性能和计算速度等方面均有资源不足的问题,仍然不能适应较复 杂的控制算法和故障诊断等要求,但利用单片机控制电梯有成本低,通用性强, 灵活性大及易于实现复杂控制等优点。 由可编程控制器(plc)或微型计算机组成的电梯运行逻辑控制系统,正以 很快的速度发展着。可编程控制器,是微机技术与继电器常规控制技术相结合 的产物,是在顺序控制器和微机控制器的基础上发展起来的新型控制器,是一 种以微处理器为核心用作数字控制的专用计算机,它有良好的抗干扰性能,适 应很多工业控制现场的恶劣环境,所以现在的电梯控制系统主要还是由可编程 控制器控制。但是由于plc的针对性较强,每一台plc都是根据一个设备而设计 的,所以价格较昂贵。而单片机价格相当便宜,如果在抗干扰功能上有所提高 的话完全可以代替plc实现对工控设备的控制。当然单片机并不象plc那么有针 对性,所以由单片机设计的控制系统可以随着设备的更新而不断修改完善,更 完美的实现设备的升级。 1.1.1 基于单片机的电梯控制模型主要特色 基于单片机的电梯控制模型与基于plc 的电梯控制模型相比,主要特色有 以下几个方面: 第一、成本低。本电梯控制模型由于采用单片机代替了plc 作为主控芯片, 同时用pwm 驱动直流电机代替了变频调速驱动,从而导致整套系统成本很低。 第二、高精度的重量检测及显示。基于plc 的电梯模型虽然有重量检测, 但检测精度较低。本电梯模型采用了高精度的重量传感器和测量电路,配合设 计优良的数字处理软件,从而能进行高精度的重量检测和显示,方便学生进行 检测技术和信号处理来等方面的课程的学习。 第三、功能多样。基于plc 的电梯控制模型主要用于了解电梯的工作原理 和如何使用电梯等。本电梯控制模型除了以上功能外,由于硬件采用了模块化 的设计,各硬件模块可以拆卸,能进行重新开发和二次开发。此外本电梯控制 模型采用了单相电供电,使用更灵活。 1.1.2 电梯控制方案比较 电梯的控制方案主要有三种:基点启控制、可编程控制器控制、微机控制。 基点启控制:过去电梯的电器控制大多采用继电器逻辑线路,这种硬布线 的逻辑控制方式具有原理简单,直观的特点,但是通用性差,布线成本高,操 作麻烦,对不同的楼层和不同的控制方式其原理图,接线图等必须重新绘制, 且逻辑系统由许多个触电组成,接线复杂,故障率高。因此,目前已经逐渐被 淘汰。 可编程控制器控制:由于现代化办公大楼的出现,需要对电梯实现群控及 智能化管理,所以用微机对电梯实行控制成了未来电梯的发展趋势。由微机实 现继电器的逻辑功能,比继电控制有较人的灵活性,不同的控制方式可用相同 的硬件,只是软件各不相同,只要把按钮,限位开关,光电开关,无触电行程 开关等电器元件作为输入信号,把制动器,接触器等功率输出元件接到输出端, 就算完成了接线任务。层数变化,无需增减继电器和大量的布线困难。但是, 微机控制的电梯需要解决运行可靠性,排除干扰等方面的问题。干扰是影响整 个系统安全,可靠性,稳定运行的主要原因。例如电源的波动,电动机的启动, 晶闸管的导通与截止,接触器的工作等等。 微机控制:目前,在电梯上使用较广的是可编程序控制,简称plc,而采用 美丽天使精品论文 /lovehpower 第 3 页(总 57 页) 单片机的话在接口性能等方面均有资源不足的问题,不能适应较复杂的控制算 法和故障诊断等要求,但利用单片机控制电梯有成本低,通用性强,灵活性大 及易于实现复杂控制等优点。 1.2 任务概述 本设计采用单片机为主要元器件,实现对电梯的模拟控制,画出设计相关电 路图。在电梯控制系统的设计中,核心是电梯运行的状态控制器的设计。 软件部分完成: 对各楼层用户按钮信号的检测和处理,按预定的运行规则和 程序,发出控制信号对电机进行调节,从而控制电梯的启停、速度;电梯运行所 在楼层指示、设有电梯所处位置指示装置以及电梯运行模式(上升或下降)指 示装置、关门延时设置、电梯到达有停站请求楼层,经过1 秒电梯门打开,开 门4 秒后,电梯门关闭(开门指示灯熄灭) ,电梯继续运行,直至执行完最后一 个请求信号后停留在当前楼层、每一层电梯的入口处设有上下请求开关,电梯 内设有顾客到达层次的停站请求开关、看门狗报警、超载报警、故障报警等。 第二章 设计的整体方案 2.1 控制系统硬件组成 用单片机实现电梯控制系统,由于89c51有良好的开发坏境和c语言程序设 计的便利条件,价格低廉,容易购买,故单片机首选89c51。而显示而言要求而 言应做到模块结构紧凑、轻巧、装配容易、低功耗、长寿命、高可靠性等所以 决定显示器件选用1602液晶显示。 2.1.1 89c51 介绍 89c51是一种带4k字节闪烁可编程可擦除只读存储器(fperomfalsh programmable and erasable read only memory)的低电压,高性能cmos8位微 处理器,俗称单片机。89c2051是一种带2k字节闪烁可编程可擦除只读存储器的 单片机。单片机的可擦除只读存储器可以反复擦除100次。该器件采用atmel高 密度非易失存储器制造技术制造,与工业标准的mcs-51指令集和输出管脚相兼 容。由于将多功能8位cpu和闪烁存储器组合在单个芯片中,atmel的89c51是一 种高效微控制器,89c2051是它的一种精简版本。89c单片机为很多嵌入式控制 系统提供了一种灵活性高且价廉的方案。 图 1 89c51 引脚图 美丽天使精品论文 /lovehpower 第 5 页(总 57 页) 89c51单片机引脚介绍: vcc:供电电压。 gnd:接地。 p0口:p0口为一个8位漏级开路双向i/o口,每脚可吸收8ttl门电流。当p1 口的管脚第一次写1时,被定义为高阻输入。p0能够用于外部程序数据存储器, 它可以被定义为数据/地址的第八位。在fiash编程时,p0 口作为原码输入口, 当fiash进行校验时,p0输出原码,此时p0外部必须被拉高。 p1口:p1口是一个内部提供上拉电阻的8位双向i/o口,p1口缓冲器能接收 输出4ttl门电流。p1口管脚写入1后,被内部上拉为高,可用作输入,p1口被外 部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在flash编程和校 验时,p1口作为第八位地址接收。 p2口:p2口为一个内部上拉电阻的8位双向i/o口,p2口缓冲器可接收,输 出4个ttl门电流,当p2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输 入。并因此作为输入时,p2口的管脚被外部拉低,将输出电流。这是由于内部 上拉的缘故。p2口当用于外部程序存储器或16位地址外部数据存储器进行存取 时,p2口输出地址的高八位。在给出地址“1”时,它利用内部上拉优势,当对 外部八位地址数据存储器进行读写时,p2口输出其特殊功能寄存器的内容。p2 口在flash编程和校验时接收高八位地址信号和控制信号。 p3口:p3口管脚是8个带内部上拉电阻的双向i/o口,可接收输出4个ttl门 电流。当p3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入, 由于外部下拉为低电平,p3口将输出电流(ill)这是由于上拉的缘故。 89c51单片机的结构特点: 8 位 cpu; 片 内 振 荡 器 和 时 钟 电 路 ; 32 根 i/o 线 ; 外 部 存 贮 器 寻 址 范 围 rom、 ram64k; 2 个 16 位 的 定 时 器 /计 数 器 ; 5 个 中 断 源 , 两 个 中 断 优 先 级 ; 全 双 工 串 行 口 ; 布 尔 处 理 器 ; 2.1.2 1602 液晶显示介绍 1602 液晶模块内部的字符发生存储器(cgrom)已经存储了 160 个不同的点 阵字符图形,这些字符有:阿拉伯数字、英文字母的大小写、常用的符号、和 日文假名等,每一个字符都有一个固定的代码,比如大写的英文字母“a”的代 码是 01000001b(41h) ,显示时模块把地址 41h 中的点阵字符图形显示出来, 我们就能看到字母“a” 。 图 2 1602 引脚图 1602 引脚接口介绍: 1602 采用标准的 14 脚接口,其中: 第 1 脚:vss 为地电源 第 2 脚:vdd 接 5v 正电源 第 3 脚:vl 为液晶显示器对比度调整端,接正电源时对比度最弱,接地电 源时对比度最高,对比度过高时会产生“鬼影” ,使用时可以通过一个 10k 的电 位器调整对比度。 第 4 脚:rs 为寄存器选择,高电平时选择数据寄存器、低电平时选择指令 寄存器。 第 5 脚:rw 为读写信号线,高电平时进行读操作,低电平时进行写操作。 当 rs 和 rw 共同为低电平时可以写入指令或者显示地址,当 rs 为低电平 rw 为 高电平时可以读忙信号,当 rs 为高电平 rw 为低电平时可以写入数据。 第 6 脚:e 端为使能端,当 e 端由高电平跳变成低电平时,液晶模块执行 命令。第 714 脚:d0d7 为 8 位双向数据线。 另外引脚“a“和“k“为背光引脚,“a“接正,“k“接负便会点亮背光灯. 1602 液晶显示模块特点: 标准 1602lcd,标准的接口特性。液晶显示屏是以若干个 5x8 点阵块组成 的显示字符群。每个点阵块为一个字符位,字符间距和行距都为一个点的宽度。 具有字符发生器 rom 可显示 192 种字符。 美丽天使精品论文 /lovehpower 第 7 页(总 57 页) 具有 64 个字节的自定义字符 ram,可自定义 8 个 5x8 字符或四个 5x11 字 符。 模块结构紧凑、轻巧、装配容易。单+5v 电源供电。 低功耗、长寿命、高可靠性。 1602 液晶显示内部控制: 指令 rs r/w d7 d6 d5 d4 d3 d2 d1 d0 1 清显示 0 0 0 0 0 0 0 0 0 1 2 光标返回 0 0 0 0 0 0 0 0 1 * 3 置输入模式 0 0 0 0 0 0 0 1 i/d s 4 显示开/关控制 0 0 0 0 0 0 1 d c b 5 光标或字符移 位 0 0 0 0 0 1 s/c r/l * * 6 置功能 0 0 0 0 1 dl n f * * 7 置字符发生存 储器地址 0 0 0 1 字符发生存储器地址(agg) 8 置数据存储器 地址 0 0 1 显示数据存储器地址 (add) 9 读忙标志或地 址 0 1 bf 计数器地址(ac) 10 写数到 cgram 或 ddram 1 0 要写的数 11 从 cgram 或 ddram 读 数 1 1 读出的数据 1602 液晶显示复位时序图: 2.1.3 压敏电阻介绍 压 敏 电 阻 电 路 的 “安 全 阀 ”作 用 : 压 敏 电 阻 的 最 大 特 点 是 当 加 在 它 上 面 的 电 压 低 于 它 的 阀 值 “un“时 , 流 过 它 的 电 流 极 小 , 相 当 于 一 只 关 死 的 阀 门 , 当 电 压 超 过 un 时 , 流 过 它 的 电 流 激 增 , 相 当 于 阀 门 打 开 。 利 用 这 一 功 能 , 可 以 抑 制 电 路 中 经 常 出 现 的 异 常 过 电 压 , 保 护 电 路 免 受 过 电 压 的 损 害 。 保 护 用 压 敏 电 阻 的 基 本 性 能 : 保 护 特 性 , 当 冲 击 源 的 冲 击 强 ( 或 冲 击 电 流 isp=usp/zs)不 超 过 规 定 值 时 , 压 敏 电 阻 的 限 制 电 压 不 允 许 超 过 被 保 护 对 象 所 能 承 受 的 冲 击 耐 电 压 ( urp) 。 耐 冲 击 特 性 , 即 压 敏 电 阻 本 身 应 能 承 受 规 定 的 冲 击 电 流 , 冲 击 能 量 , 以 及 多 次 冲 击 相 继 出 现 时 的 平 均 功 率 。 寿 命 特 性 有 两 项 , 一 是 连 续 工 作 电 压 寿 命 , 即 压 敏 电 阻 在 规 定 环 境 温 度 和 系 统 电 压 条 件 应 能 可 靠 地 工 作 规 定 的 时 间 ( 小 时 数 ) 。 二 是 冲 击 寿 命 , 即 能 可 靠 地 承 受 规 定 的 冲 击 的 次 数 。 压 敏 电 阻 介 入 系 统 后 , 除 了 起 到 “安 全 阀 “的 保 护 作 用 外 , 还 会 带 入 一 些 附 加 影 响 , 这 就 是 所 谓 “二 次 效 应 “, 它 不 应 降 低 系 统 的 正 常 工 作 性 能 。 这 时 要 考 虑 的 因 素 主 要 有 三 项 , 一 是 压 敏 电 阻 本 身 的 电 容 量 ( 几 十 到 几 万 pf) , 二 是 在 系 统 电 压 下 的 漏 电 流 , 三 是 压 敏 电 阻 的 非 线 性 电 流 通 过 源 阻 抗 的 耦 合 对 其 他 电 路 的 影 响 。 压 敏 电 阻 的 基 本 参 数 : 1. 标 称 电 压 (v): 指 通 过 1ma 直 流 电 流 时 压 敏 电 阻 器 两 端 的 电 压 值 。 2. 电 压 比 : 指 压 敏 电 阻 器 的 电 流 为 1ma 时 产 生 的 电 压 值 与 压 敏 电 阻 器 的 电 流 为 0.1ma 时 产 生 的 电 压 值 之 比 。 3. 最 大 限 制 电 压 (v): 指 压 敏 电 阻 器 两 端 所 能 承 受 的 最 高 电 压 值 。 4. 残 压 比 : 通 过 压 敏 电 阻 器 的 电 流 为 某 一 值 时 , 在 它 两 端 所 产 生 的 电 压 称 为 这 一 电 流 值 的 残 压 。 残 压 比 则 是 残 压 与 标 称 电 压 之 比 。 5. 通 流 容 量 (ka): 通 流 容 量 也 称 通 流 量 , 是 指 在 规 定 的 条 件 ( 规 定 的 时 间 间 隔 和 次 数 , 施 加 标 准 的 冲 击 电 流 ) 下 , 允 许 通 过 压 敏 电 阻 器 上 的 最 大 脉 冲 ( 峰 值 ) 电 流 值 。 6. 漏 电 流 (ma): 漏 电 流 也 称 等 待 电 流 , 是 指 压 敏 电 阻 器 在 规 定 的 温 度 美丽天使精品论文 /lovehpower 第 9 页(总 57 页) 和 最 大 直 流 电 压 下 , 流 过 压 敏 电 阻 器 电 流 。 7. 电 压 温 度 系 数 : 指 在 规 定 的 温 度 范 围 ( 温 度 为 20 70 ) 内 , 压 敏 电 阻 器 标 称 电 压 的 变 化 率 , 即 在 通 过 压 敏 电 阻 器 的 电 流 保 持 恒 定 时 , 温 度 改 变 1 时 , 压 敏 电 阻 器 两 端 电 压 的 相 对 变 化 。 8. 电 流 温 度 系 数 : 指 在 压 敏 电 阻 器 的 两 端 电 压 保 持 恒 定 时 , 温 度 改 变 1 时 , 流 过 压 敏 电 阻 器 电 流 的 相 对 变 化 。 9. 电 压 非 线 性 系 数 : 指 压 敏 电 阻 器 在 给 定 的 外 加 电 压 作 用 下 , 其 静 态 电 阻 值 与 动 态 电 阻 值 之 比 。 10. 绝 缘 电 阻 : 指 压 敏 电 阻 器 的 引 出 线 (引 脚 )与 电 阻 体 绝 缘 表 面 之 间 的 电 阻 值 。 11. 静 态 电 容 量 ( pf) : 指 压 敏 电 阻 器 本 身 固 有 的 电 容 容 量 。 2.2 系统结构图 图 3 电梯控制系统原理框图 2.3 系统详解 本电梯控制模型硬件采用了模块化的设计,各模块间通过接插件连接,可 以拆卸。硬件主要由供电电源、轿厢内呼梯输入模块,轿厢外呼梯输入模块, 轿内呼梯信号处理、轿外呼梯信号处理、系统信息显示、轿厢位置检测、重量 检测、电机控制、轿厢门开关等模块组成。 2.3.1 供电电源模块 本系统设计的电源的种类较多,有些模块电压大小虽然相同,但要求隔离 以提高系统的抗干扰能力。采用 7085 集成稳压器来给单片机,1602 显示器供 电。单片机的电源一般要求为 5v 稳定直流电,一般的生活电压为 220v 交流电, 所以对单片机的电源需要将 220v 的交流电转换为稳定 5v 电压直流电。通过变 压器将 220v 的电压转化成 5v 电压,此时的 5v 电压依然是交流电,利用桥式整 流将 5v 交流电转化为直流电,再通过两个电容进行滤波,输出的电压及为直流 电。 2.3.2 轿厢位置检测模块 在电梯控制中,轿厢位置的检测是设计中的一个关键环节。轿厢位置的检 测又分为接触式和非接触式。为了准确定位楼层,采用反射式非接触式光电传 感器。此传感器具有发散角小、精度高, 反应速度快, 有效距离较远, 抗干 扰能力 强、可靠性较高等优点。此光电式传感器供电电源为 636v,设计中用 5v 供 电。在每个楼层和极限位置均安装一个传感器,传感器信号由电路处理变成高、 低电平,经光耦隔离和电平转换后送入单片机。 2.3.3 重量检测模块 本设计中重量检测模块是一个很有特色的模块,能进行重量的高精度检测。 重量传感器采用力敏应变片,此压力传感器价格便宜,精度高,在 01kg 的 压力下输出 020mv 的电压信号。传感器输出的 020mv 信号经性能优良的三 运放差动放大器(又称为仪表放大器或测量放大器)放大到一定值成数字信号 后送入单片机进行显示和过载判断。 美丽天使精品论文 /lovehpower 第 11 页(总 57 页) 2.3.4 电机控制模块 电机控制模块完成以下功能:第一、电机运行方向的控制。单片机根据输 入信号进行逻辑分析,决定电机停止、上升或是下降。单片机的一个引脚控制 一个继电器的吸合,此继电器的吸合与断开切换直流电机供电电压的极性,从 而控制电机的上升或下降的目的。第二、电机的速度控制。单片机根据设定的 速度值输出不同占空比的 pwm 信号,经硬件平滑滤波控制电机的运行速度。第 三、平层时轿厢的惯性的处理。电梯到达楼层时,若直接切断电机的供电,由 于轿厢的惯性,必然造成平层的不准确。本设计中加入了反向电压制动到达了 精确平层的效果。 2.3.5 轿内外呼梯信号处理 本电梯控制模型设计为九层结构,轿内呼梯信号的设置与实际电梯基本相 同。每楼设置上、下两个(一楼和九楼除外)呼梯信号,轿厢内设置了九个呼 梯信号表示乘客需要到达的楼层,这些呼叫信号组成矩阵式键盘结构经光耦隔 离送入单片机进行处理。由单片机 p0.0,p0.1,p0.2,p0.3 和 p1.0,p1.1,p1.2,p1.3 这 8 个引脚控制处理乘客的呼叫请求。轿厢外的呼叫由 单片机的串行接口 p3.0,p3.1 两个引脚处理乘客的呼叫请求。 2.3.6 轿内外呼梯信号显示模块 每个呼梯请求需要有一个对应的指示灯,同时每楼和轿厢内均应显示此时 电梯的运行状态,如上行或下行、电梯目前到达的楼层等信息。为此我选用 1602 液晶显示器作为显示器件。由单片机 p0.0,p0.1,p0.2,p0.3,p0.4,p0.5,p0.6,p0.7,p1.5,p1.6,p3.6 引脚控制显 示楼层。 2.3.7 中断信号处理 本设计的中断有 int0,int1 两个中断,int0 中断用开处理键盘输入中断, int1 用于故障处理中断 2.3.8 轿厢开关门 电梯门开关控制装置,通过检测对门作开关驱动的电动机的转速以及上述 门的位置,发生基于该位置的速度指令值,输出转矩指令值,以使上述转速跟 踪上述速度指令值来使上述门开关,其特征在于:具有在对门作开关驱动的电 动机从以所定值以上的转速进行旋转的状态起,转速瞬时变为零、且该状态持 续规定时间以上时,进行动作的故障判定构件。 美丽天使精品论文 /lovehpower 第 13 页(总 57 页) 第三章 系统硬件设计 3.1 呼叫及选层 呼叫及选层系统是整个电梯的主要组成部分,也是电梯与乘客信息交换的 窗口。它主要功能是及时地接受乘客的请求指令,同时还要通知乘客,请求指 令已被电梯系统接受,无需重复发指令。要实现上述任务,要求呼梯及选层电 路应具有下列功能:第一,对呼梯信号及选层信号具有锁存功能。第二,对锁 存信号具有显示功能。第三,对锁存信号还要具有清除功能。本设计的工作原 理是,当某一层有人要梯或者电梯内的人想要到达某一层时,按下相应的按钮, 则该信号被锁存显示。待电梯查询到该命令后,就自动运行。当执行完请求任 务后,就撤销请求信号。以便再接受后来人发送的请求指令。根据人们上下楼 的要求可知,1-8 层门外应该没有上呼按钮,2-9 层门外应该没设有下呼按钮, 轿厢内应该没有选层按钮,为了保证电梯对乘客的各种要求及时存储和显示, 可用 1602 液晶显示器完成。电梯到达某一层后,经扫描在这一层有呼梯或选层 信号时便停梯开门。同时,89c51 将这一层的消号信号输出。电梯在运行过程 中,为了减少换向,提高工作效率,要求电梯上升时只响应上呼及选层信号, 在下降时,只响应下呼及选层信号,因此在撤销信号时也只能是撤销执行过的 命令,保留未执行的命令,要实现这一点,必须把层撤销信号和上行、下行信 号结合起来才行。 呼叫分为两种呼叫,一种是叫电梯内呼叫,另一种则是叫电梯外呼叫。电 梯内的呼叫我采用单片机 p2.0,p2.1,p2.2,p2.3 和 p1.0,p1.1,p1.2,p1.3 的 8 个引脚组成矩阵模块,本设计的设计楼层为 9 层,共有 16 种选择,故这 8 个引 脚组成的矩阵已经足够乘客的这种请求。电梯内的呼叫电路图如下。 图 4 电梯轿厢内要梯引脚控制电路图 另一种呼叫是电梯外呼叫,我采用 89c51 单片机的串行口 p3.0 与 p3.1 连 接控制。电梯外呼叫电路图如下。 图 5 电梯轿厢外要梯引脚控制电路 美丽天使精品论文 /lovehpower 第 15 页(总 57 页) 3.2 显示功能模块的实现 本设计方案的显示模块是选用的 1602 液晶显示器与 89c51 单片机一起共同 实现的。我以单片机的 p0.0,p0.1,p0.2,p0.3,p0.4,p0.5,p0.6,p0.7 这 8 个引 脚分别 与 1602 液晶显示器的 d00,d01,d02,d03,d04,d05,d06,d07 这 8 个引脚 相接。rw 和 en 两个引脚分别与 p3.6 和 p1.6 两个引脚相接 rs 与 p1.6 相接。 当较内有乘客呼叫电梯信号时,显示呼叫楼层。1602 液晶显示器与 89c51 接线 如下图所示。 图 6 显示引脚控制电路图 3.3 供电模块 单片机的电源一般要求为 5v 稳定直流电,一般的生活电压为 220v 交流电, 所以对单片机的电源需要将 220v 的交流电转换为稳定 5v 电压直流电。稳压电 源一般由变压器、整流器和稳压器三大部分组成,变压器把市电交流电压变为 所需要的低压交流电,整流器将交流电转化为直流电,经过滤波后,稳压器再 把不稳定的直流电压变为稳定的直流电压输出。供电模块的电路图如下所示。 图 7 电源供电电路图 3.4 单片机复位电路 单片机需要复位电路,出现故障可以及时解除。我接单片机 89c51 的 rst 引脚,电路图如下: 图 8 单片机复位电路图 美丽天使精品论文 /lovehpower 第 17 页(总 57 页) 3.5 位置检测模块 在电梯控制中,轿厢位置的检测是设计中的一个关键环节。轿厢位置的检 测又分为接触式和非接触式。为了准确定位楼层,采用反射式非接触式光电传 感器。我选择 89c51 单片机的 p2.4 引脚进行控制。电路图如下所示。 图 9 电梯轿厢位置检测引脚控制电路图 3.6 超重检测模块与超重报警 重量传感器采用力敏应变片,此压力传感器价格便宜,精度高,在 01kg 的压力下输出 020mv 的电压信号。重量检测模块与超重报警我分别用 89c51 单片机的 p2.5,p2.6 两个引脚进行控制,报警电路图,超重检测电路图如下所 示。 图 10 超重检测电路图 图 11 超重报警电路图 美丽天使精品论文 /lovehpower 第 19 页(总 57 页) 3.7 电机上下转设计 电机的上转与下转是由乘客的需求所决定的,当乘客要求上行时,电机上 转,当乘客要求下行,电机下转。电机上转由单片机 p1.4 引脚控制,电机下转 由单片机 p1.7 引脚控制。 3.8 轿厢开关门设计 电梯的开门与关门我选用 89c51 单片机的,p2.7 两个引脚进行控制。p2.7 输出为 1 时控制开门,p2.7 输出为 0 时控制关门。 图 12 电梯开关门引脚控制电路图 (电机上转、下转的只是引脚不一样) 第四章 系统软件设计 首先启动电梯,然后电梯进入初始化状态, (该系统设定电梯在第一层楼时 为初始状态)初始化状态后,有三种可能性,第一种是进入安全等待回路,即 无人要电梯时,电梯处于等候状态。第二种可能性是乘客在电梯内要梯,则进 入轿厢内要梯处理流程。最后一种可能性是,乘客在电梯外要电梯,则进入轿 厢外要梯处理流程。 4.1 主流程图 本设计针对单片机控制电梯的主要处理流程有四种,及安全等待处理流程, 故障处理流程,轿厢内要梯处理流程和轿厢外要梯处理流程。主流程图如下所 示: 美丽天使精品论文 /lovehpower 第 21 页(总 57 页) 图 13 总流程图 程序代码: void main(void) ie0=0; ie1=0; p2_7=0; p1_4=0; p1_7=0; if(p1 else if(p3 else if(p2 else mode=wait; switch(mode) case wait: break; case in: if(run_state) key_done(); break; case out: p2_7=1;/开门 break; default : break: 4.2 安全等待流程 安全等待处理流程是,当电梯空闲时及无人要梯与电梯没有发现故障时的 一种等待状态。 图 14 安全等待流程图 程序代码: #define wait 1 #define in 3 #define out 4 #define done 5 switch(mode) case wait: break; 4.3 故障处理流程 故障处理流程是当电梯系统出现故障时,避免出现事故所设计的,当电梯 出 现故障必须马上保护现场,停止电梯运作,使电梯停止运行,再进入相对应的 处理程序中进行处理。 美丽天使精品论文 /lovehpower 第 23 页(总 57 页) 图 15 外部中断 1 流程图 程序代码: void int1_serve (void) interrupt 2 ie1=0; push acc; p1_4=0; p1_7=0; /停机 str1=“error“; lcd_write_com(0xc0); lcd_display(str); lcd_delay1ms(1); 4.4 轿厢内要梯处理流程 因为轿厢内的要梯输入设备与单片机的 p0.0,p0.1,p0.2,p0.3 和 p1.0,p1.1,p1.2,p1.3 这 8 个引脚相连,构成一个矩阵形式。 图 16 厢内要梯处理流程图 程序代码: /外部中断 1 (void) 美丽天使精品论文 /lovehpower 第 25 页(总 57 页) while(weizhip3|=0x10; /关门 if(weizhizhidingweizhi) p3 /反转下降 else if(weizhizhidingweizhi) p3 /反转下降 else if(weizhi0) while(j0); i-;j- p2_7=0; /关门 str1=”the door is closed”; lcd_write_com(0xc0); lcd_display(str); lcd_delay1ms(1); 4.7 显示输出 本设计的显示部分采用的是 1602 液晶显示,当 en=1;rw=1 时,送地址到 1602,实现显示功能。 图 19 显示处理流程图 程序代码: /*lcd_1602 简单显示程序 stc89c51rc*/ #include #include void lcd_write_com(unsigned char com); void lcd_write_data(unsigned char dat); void lcd_initial(void); void lcd_display(unsigned char *p); void lcd_read_data(); void lcd_delay1ms(unsigned int n); bit lcd_busy(void);/check lcd busy bit rs=p16; sbit en=p15; unsigned char *str1; unsigned char *str2; main(void) 美丽天使精品论文 /lovehpower 第 29 页(总 57 页) lcd_delay1ms(1); lcd_initial(); while(1) lcd_write_com(0x80); lcd_display(str1); lcd_write_com(0xc0); lcd_display(str2); lcd_delay1ms(1); void lcd_delay1ms(unsigned int n) unsigned int i,j; for(i=0;i 美丽天使精品论文 /lovehpower 第 43 页(总 57 页) 附录一 附录二 #include #include #include #define wait 1 #define in 3 #define out 4 #define done 5 void lcd_write_com(unsigned char com); void lcd_write_data(unsigned char dat); void lcd_initial(void); void lcd_display(unsigned char *p); void lcd_read_data(); void lcd_delay1ms(unsigned int n); bit lcd_busy(void);/check lcd busy sbit rs=p16; sbit en=p15; unsigned char *str1; unsigned char *str2; static unsigned char mode=0; unsigned char weizhi=0,zhidingweizhi=0; void system_init(void); void main(void) system_init(); /*for(;)*/ if(p1 else if(p3 else if(p2 else mode=wait; if(kb0_pressed) zhidingweizhi=1; if(kb1_pressed|kb2_pressed) zhidingweizhi=2; if(kb3_pressed|kb4_pressed) zhidingweizhi=3; if(kb5_pressed|kb6_pressed) zhidingweizhi=4; if(kb7_pressed|kb8_pressed) zhidingweizhi=5; if(kb9_pressed|kb10_pressed) zhidingweizhi=6; if(kb11_pressed|kb12_pressed) zhidingweizhi=7; if(kb13_pressed|kb14_pressed) zhidingweizhi=8; if(kb15_pressed) zhidingweizhi=9; switch(mode) case wait: break; case in: if(run_state) key_done(); break; case out: p2_7=1;/开门 break; case done: p1_4=0; p1_7=0;/停机 p2_7=1;/开门 break; default : break: void system_init(void) ex0=1; ex1=1; p2_7=0; p1_4=0; p1_7=0; lcd_delay1ms(1); lcd_initial(); return 0; void key_done(void) while(weizhi/关门 if(weizhizhidingweizhi) p1_7=1;/反转下降 else if(weizhizhidingweizhi) p1_4=1;/正转上升 str1=weizhi; lcd_write_com(0xc0); lcd_display(str); lcd_delay1ms(1); p1_4=0; p1_7=0;/停机 p2_7=1;/开门 void int1_serve (void) interrupt 2 ie1=0; push acc; p1_4=0; p1_7=0;/停机 str1=“error“; lcd_write_com(0xc0); lcd_display(str); lcd_delay1m

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论