verilog语言-设计一个交通灯信号控制电路_第1页
verilog语言-设计一个交通灯信号控制电路_第2页
verilog语言-设计一个交通灯信号控制电路_第3页
verilog语言-设计一个交通灯信号控制电路_第4页
verilog语言-设计一个交通灯信号控制电路_第5页
已阅读5页,还剩13页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、西北工业高等院校FPGA实验报告学院:软件微电子学院学号:2011303596名字:杨清山专业领域:微型电子工程学实验时间:2013年11月11日实验场所:毅字楼335指导人民教师:韩兵西北工业高等院校2013年11月大作业设定信号控制电路一、实验目的和要求实验目的:通过信号机的设置、仿真综合,掌握复杂的时间序列实现方法,计程仪柱的设置、校正思想用分块图表示,掌握中小型IC集成电路的系统综合设置、校正方法。实验要求:设置信号机信号控制电路。 具体来说,要求输入50MHz的时钟信号和重启信号,输出红、绿、黄3个信号(高电平亮)。 重定径套信号(高电平)有效,红、绿、黄灯熄灭。接着,进行绿灯亮1分

2、钟、黄灯亮10秒、红灯亮1分钟的循环。 在上面再加两个查询密码管,表示倒计数的数量。二、实验设备(环境)和要求实验EDA手工工具是在:上预装了Synplify Pro 9.6.2和ModelSim SE 6.2b的PC机。三、实验内容和程序1 .设定修订构想的概要总体分为三大模块:掌门人模块、分频模块、控制模块、解查询密码显示模块。 它的作用如下:掌门人级别和特罗尔模块:该模块的斯坦共和国化和控制是本计程仪程序的主体,对底层的分频模块和数据查询密码显示模块进行斯坦共和国化,进行控制设定修正。 控制设定修订如下采用同步时间节点逻辑。 包括一个循环计数器、三个比较器和always控制。分频后的CL

3、K1新信号(1Hz )进行将1个循环变量“k”的周期设为130的循环,进而控制3个斜坡的控制具体的循环是,每当CLK1跳跃,k值减1,K=0时,重复值为129。根据比较器,每个灯的条件不同,129=k=70时绿灯亮,69=k=60时黄灯亮,59=k=0时红灯亮。相对于数字管的输出,在129=k=70时,数字管的二进制位输出=(k-70) ) ),10二进制位输出为(k-70-二进制位)/10。 在69=k=60数字管的二进制位输出=(k-60) )、10二进制位输出为(k-60-二进制位)/10、59=k=0的情况下,数字管的二进制位输出=k10二进制位输出为()分频模块:分频模块用于将输入的

4、50MHz信号转换为1Hz信号,对于之后的信号机控制和数字管理输出非常有用。解查询密码模组:一般认为数字表示需要2个7段解码器,在数字系统中,所有数字表示都是二进制的,并且两个管道分开地输入,则必须将环变量k转换为有用的10二进制位和每个二进制位的输出。为了方便起见,将每个状态的基值从循环变量k减去,然后在数学上分别输入10位和各位。绿灯时,129=k=70时,数字管的二进制位输出=(k-70) ) ),10二进制位输出为(k-70-二进制位)/10。7段解码器的设定修正原理如下所示2 .全体设定订正分块图和说明:Clk 50Hz罗伯特布鲁循环变量k129到0是孔特罗尔克里克11Hz红色图1输

5、入: clk全局时钟控制信号,50MHzrst全局重新定径套定引脚,高电平有效输出: ctrl_1s倒计数位上的数字ctrl_10s倒计数十位以上的数字blu、yel、red各灯状态说明分块图:状态机的输入,只有时钟控制信号clk和重定径套信号reset .作为数字管的10二进制位和1二进制位的二进制显示状态和3个灯的颜色显示(blu、yel、red,分别为绿色、黄色、“1”为灯点亮,“0”为熄灭)输出。输入clk的频率大,需要用计数器进行分频。 首先计数1个1Hz的输出,用这个输出再次计数,发生周期为130的计数变量,控制各灯的闪烁。 控制黄灯闪烁的分频,为方便起见,以1s为单位每秒更改一次

6、。用if嵌套句一边控制三灯的点亮和熄灭一边间接地进行倒计数的过程,输出1位和10位的数,直接用2个7段dep查询密码表示倒计数数。Rst信号用于清零,“1”时,3个信号机及循环变量k的复数位置为“0”。程序流程图游戏开始输入50MHzclk1:1Hz循环变量k计数70k12960k69蓝色=1是=是red=10k59图4显示输出3 .时间节点说明:全段计程仪紧柱有初始状态、绿灯状态、黄灯状态、红灯状态4种状态。如果存在rst的“1”状态,则清零,返回初始状态。这是控制模组的计数器被清零,不再进行倒计数,3个灯熄灭的状态在Rst为“1”的状态下跳至初始状态,等待清零结束,开始工作的时候,也不显示

7、7段堆查询密码。清零完成后,系统开始正常工作。 首先,分频时钟开始动作,此时,循环变量开始循环,绿色点亮60s,解码器开始显示倒计数的输出直到k=70。 当循环变量k=69时,黄色的灯闪烁10s,并且当循环变量k=59时,对倒计数进行解密,直到k=0为止,其中,k=59时,红色信号点亮。 这样一个循环就完成了,循环沿着这个过程进行,直到出现reset信号为止。初期K=K-1绿灯状态K69设定为k0k=129K=K-1黄灯状态红灯状态K=K-1K59图24 .模块设置修订分块图和相关时间节点本计程仪程序分为掌门人级模块、控制模块、查询密码模块3个模块,对各模块的设定、修改分块图和相关时间节点进行

8、如下说明掌门人等级和控制模组的分块图掌门人等级孔特罗尔解查询密码显示子摇滾乐分频图3输入: clk全局时钟控制信号,50MHzrst全局重新定径套定引脚,高电平有效输出: led1二进制位7段解查询密码led10二进制位七段解查询密码时间节点说明:加入时钟控制信号后,首先由reset清除,然后分频模块进行分频,产生分频时钟clk1,根据分频时钟的高度和高度,进一步进行变量循环,然后控制3个状态间的跳变,解查询密码显示模块同步用数字管显示倒计数数分频模块:当输入50MHz的信号、并且rst为“1”时,计数器j定径套为“0”,并且此后,每经过系统时钟周期就加1,并且当k=49999999时,计数器

9、j具有clk1=clk1,并且计数器j具有k=0.到此为止的值(在实际的查询密码制作中,为了简化查询密码,将该模块集成到了顶层和控制模块中。)j=4999999999计数器显示计数clk 50毫赫兹clk=clk罗伯特图4解查询密码查看模块:输入通讯端口:din_1s显视器中的单个二进制位数据是从特罗尔模块中填充的从控制模块输入din_10s显示器的10二进制位数据输出通讯端口:led_data_1s显视器的各二进制位数据的数据查询密码led_data_10s显视器的10二进制位数据的数据查询密码定说明时间节点:该模块接受主模块输出的1二进制位和10二进制位的数值控制,接受(系统时钟) clk

10、(50MHz )和(全局定径套) rst、以及分频时钟摇滾乐clk1的控制,成为上升沿触发,当rst成为高电平时电路被定径套,进行动作rst为低电平时,电路正常。5 .模拟和综合结果表1模拟结果信号的说明信号灯解释备考克莱克系统时钟,50MHz为了方便,在此设为2ns蓝、是、红最终三灯状态分别为绿红“1”亮灯“0”熄灭K循环计数变量用于状态判断的控制led10飞弹十位七段倒计数查询密码数字气管10位显示一级方程式倒计数二进制位7段德查询密码数字管个二进制位显示超时空要塞数字倒计数控制模块的输出out10游戏机十位倒计数的数字控制模块的输出罗伯特系统控制变量高电平有效在上图中是反映了blu、ye

11、l、red、3个信号的绿黄红交通灯的状态,其中包含绿点灯60秒、黄灯2HZ周期闪烁、红点灯60s、总周期130s。上图是循环控制变量的值分频后的信号的从129到0的循环计数。综合结果:掌门人电平和控制、分频模块的综合RTL级电路如下所示解查询密码显示模组的整合如下所示男同性恋级战列舰6 .查询密码和评论这是掌门人等级及控制模组查询密码包括./解码. v 双精灵(clk、rst、红色、蓝色、是、led1、led10 );输入clk、rst;输出蓝牙、是、红色、led1、led10;红、黄、蓝;wire 6:0 led1;wire 6:0 led10;reg :0 输出10、输出1。reg5:0

12、j日语怎么说?reg7:0 k; /j,kreg clk1;/初始化联苯胺k=d129;蓝色=0;是=0;red=0;/j=0;/clk1=0;结束我就是这个样子的。/这是分频部功能的实现什么意思? 我叫什么名字啊?always (接点时钟或接点rst )联苯胺rst飞弹联苯胺clk1=0;j=0;结束埃尔斯if(j=4) /联苯胺j=0;clk1=clk1;结束埃尔斯联苯胺j=j 1;结束结束always (posedge clk1)联苯胺if(k=d0) k=d129。else k=k-1;结束我就是这个样子的。/这是控制部什么意思? 我叫什么名字啊?always (posedge clk1)国际货币基金组织rst) /? 什么? 什么?一? 什么?什么?什么?联苯胺if(k=d70k=d129 )联苯胺red=0;蓝色=1;out1=(k-70) ) ) :输出10=(k-70 -输出1 )/10。结束艾尔斯伊夫(k=d 60 k=d 69 )联苯胺是=是; /黄色的灯闪烁蓝色=0;out1=(k-60) ) ) :输出10=(k-60 -输出1 )/10。结束埃尔斯red=1;/yel=0;out1=k;out10=(k-out

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论