数字电子电路技术-第三章-SSI组合逻辑电路的分析与设计-课件_第1页
数字电子电路技术-第三章-SSI组合逻辑电路的分析与设计-课件_第2页
数字电子电路技术-第三章-SSI组合逻辑电路的分析与设计-课件_第3页
数字电子电路技术-第三章-SSI组合逻辑电路的分析与设计-课件_第4页
数字电子电路技术-第三章-SSI组合逻辑电路的分析与设计-课件_第5页
已阅读5页,还剩104页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

数字电子电路技术

DigitalElectronicTechnology湖南工学院9/25/20241h第3章组合逻辑电路3.1.1组合逻辑电路的分析方法3.1.2组合逻辑电路的设计方法3.1SSI组合逻辑电路的分析和设计返回结束放映9/25/20242h复习CMOS门的特点?CMOS门使用时要特别注意什么?TTL门使用时要特别注意什么?CMOS门和TTL门的接口电路要考虑哪两个问题?第二章门电路的学习重点是什么?9/25/20243h第3章组合逻辑电路数字电路分类:组合逻辑电路和时序逻辑电路。组合逻辑电路:任意时刻的输出仅仅取决于当时的输入信号,而与电路原来的状态无关。

本章内容提要

小规模集成电路(SSI)构成组合逻辑电路的一般分析方法和设计方法。常用组合逻辑电路的基本工作原理及常用中规模集成(MSI)组合逻辑电路的逻辑功能、使用方法和应用举例。9/25/20244h3.1.1组合逻辑电路的分析方法1.分析的主要步骤如下:(1)由逻辑图写表达式;(2)化简表达式;(3)列真值表;(4)描述逻辑功能。返回3.1SSI组合逻辑电路的分析和设计小规模集成电路是指每片在十个门以下的集成芯片。所谓组合逻辑电路的分析,就是根据给定的逻辑电路图,求出电路的逻辑功能。9/25/20245h2.举例说明组合逻辑电路的分析方法

例3-1试分析图3-1所示电路的逻辑功能。解:第一步:由逻辑图可以写输出F的逻辑表达式为:图3-1例3-1逻辑电路图9/25/20246h

第二步:可变换为

F=AB+AC+BC第三步:列出真值表如表3-1所示。返回ABCF00000010010001111000101111011111表3-1例3-1真值表第四步:确定电路的逻辑功能。由真值表可知,三个变量输入A,B,C,只有两个及两个以上变量取值为1时,输出才为1。可见电路可实现多数表决逻辑功能。9/25/20247h例3-2分析图3-2(a)所示电路的逻辑功能。图3-2例3-2逻辑电路图仿真

9/25/20248h解:为了方便写表达式,在图中标注中间变量,比如F1、F2和F3。S返回9/25/20249h表3-2例3-2真值表该电路实现两个一位二进制数相加的功能。S是它们的和,C是向高位的进位。由于这一加法器电路没有考虑低位的进位,所以称该电路为半加器。根据S和C的表达式,将原电路图改画成图3-2(b)所示的逻辑图。图3-2(b)逻辑图仿真

9/25/202410h3.1.2组合逻辑电路的设计方法1.组合逻辑电路的设计步骤:(1)分析设计要求,设置输入输出变量并逻辑赋值;(2)列真值表;(3)写出逻辑表达式,并化简;(4)画逻辑电路图。与分析过程相反,组合逻辑电路的设计是根据给定的实际逻辑问题,求出实现其逻辑功能的最简单的逻辑电路。返回9/25/202411h2.组合逻辑电路设计方法举例。例3-3一火灾报警系统,设有烟感、温感和紫外光感三种类型的火灾探测器。为了防止误报警,只有当其中有两种或两种以上类型的探测器发出火灾检测信号时,报警系统产生报警控制信号。设计一个产生报警控制信号的电路。解:(1)分析设计要求,设输入输出变量并逻辑赋值;输入变量:烟感A、温感B,紫外线光感C;输出变量:报警控制信号Y。逻辑赋值:用1表示肯定,用0表示否定。9/25/202412h(2)列真值表;把逻辑关系转换成数字表示形式;

表3-2例3-3真值表ABCY00000010010001111000101111011111(3)由真值表写逻辑表达式,并化简;化简得最简式:9/25/202413h图3-3例3-3的逻辑电路图

(4)画逻辑电路图:

用与非门实现,其逻辑图与例3-1相同。如果作以下变换:用一个与或非门加一个非门就可以实现,其逻辑电路图如图3-3所示。9/25/202414h3.2.1

普通编码器3.2.2

优先编码器3.2编码器返回结束放映9/25/202415h复习简述SSI组合电路的分析步骤。9/25/202416h人们为解决实践上遇到的各种逻辑问题,设计了许多逻辑电路。然而,我们发现,其中有些逻辑电路经常、大量出现在各种数字系统当中。为了方便使用,各厂家已经把这些逻辑电路制造成中规模集成的组合逻辑电路产品。比较常用的有编码器、译码器、数据选择器、加法器和数值比较器等等。下面分别进行介绍。9/25/202417h生活中常用十进制数及文字、符号等表示事物。3.2编码器数字电路只能以二进制信号工作。用二进制代码表示文字、符号或者数码等特定对象的过程,称为编码。实现编码的逻辑电路,称为编码器。编码器译码器9/25/202418h对M个信号编码时,应如何确定位数N?

N位二进制代码可以表示多少个信号?例:对101键盘编码时,采用几位二进制代码?

编码原则:N位二进制代码可以表示2N个信号,则对M个信号编码时,应由2N≥M来确定位数N。例:对101键盘编码时,采用了7位二进制代码ASCⅡ码。27=128>101。目前经常使用的编码器有普通编码器和优先编码器两种。9/25/202419h3.2.1普通编码器

定义:任何时刻只允许输入一个有效编码请求信号,否则输出将发生混乱。

举例:以一个三位二进制普通编码器为例,说明普通编码器的工作原理。图3-4普通编码器的方框图返回输入:八个信号(对象)

I0~I7

(二值量)八个病房呼叫请求输出:三位二进制代码

Y2Y1Y0称八线—三线编码器对病房编码9/25/202420h

I0

I1I2

I3I4

I5

I6

I7Y2Y1Y01000000000001000000001001000000100001000001100001000100000001001010000001011000000001111表3-4编码器输入输出的对应关系设输入信号为1表示对该输入进行编码。任何时刻只允许输入一个编码请求表达式、电路图?其它输入取值组合不允许出现,为无关项。9/25/202421h3.2.2优先编码器在优先编码器中,允许同时输入两个以上的有效编码请求信号。当几个输入信号同时出现时,只对其中优先权最高的一个进行编码。

优先级别的高低由设计者根据输入信号的轻重缓急情况而定。如根据病情而设定优先权。返回9/25/202422h表3-574LS148电路的功能表例:八线—三线优先编码器74LS1489/25/202423h74LS148的逻辑功能描述:(1)编码输入端:逻辑符号输入端上面均有“—”号,这表示编码输入低电平有效。I0~I7低电平有效允许编码,但无有效编码请求优先权最高9/25/202424h(2)编码输出端:从功能表可以看出,74LS148编码器的编码输出是反码。Y2、Y1、Y09/25/202425h(3)选通输入端:只有在=0时,编码器才处于工作状态;而在=1时,编码器处于禁止状态,所有输出端均被封锁为高电平。SS禁止状态工作状态9/25/202426h允许编码,但无有效编码请求正在优先编码(4)选通输出端YS和扩展输出端YEX:为扩展编码器功能而设置。9/25/202427h图3-574LS148的逻辑符号

以上通过对74LS148编码器逻辑功能的分析,介绍了通过MSI器件逻辑功能表了解集成器件功能的方法。要求初步具备查阅器件手册的能力。不要求背74LS148的功能表。9/25/202428h图3-6用74LS148接成的16线—4线优先编码器优先权最高(2)片无有效编码请求时才允许(1)片编码编码输出的最高位编码输出为原码仿真

9/25/202429h3.3.1

二进制译码器3.3.2二-十进制译码器

3.3译码器返回结束放映9/25/202430h复习全班有42名同学,需几位二进制代码才能表示?为什么要用优先编码器?9/25/202431h3.3译码器

译码:编码的逆过程,将编码时赋予代码的特定含义“翻译”出来。

译码器:实现译码功能的电路。常用的译码器有二进制译码器、二-十进制译码器和显示译码器等。二进制代码原来信息编码对象编码译码9/25/202432h3.3.1二进制译码器

返回图3-7三位二进制译码器的方框图输入:二进制代码(N位),输出:2N个,每个输出仅包含一个最小项。输入是三位二进制代码、有八种状态,八个输出端分别对应其中一种输入状态。因此,又把三位二进制译码器称为3线—8线译码器。9/25/202433h1.74LS138的逻辑功能内部电路图负逻辑与非门译码输入端S为控制端(又称使能端)

S=1译码工作

S=0禁止译码,输出全1

输出端为便于理解功能而分析内部电路仿真

9/25/202434h表3-674LS138的功能表译中为0高电平有效低电平有效禁止译码译码工作9/25/202435h图3-874LS138的逻辑符号低电平有效输出三位二进制代码使能端9/25/202436h74LS138的逻辑功能三个译码输入端(又称地址输入端)A2、A1、A0,八个译码输出端,以及三个控制端(又称使能端)、、。、,是译码器的控制输入端,当=1、+=0(即=1,和均为0)时,GS输出为高电平,译码器处于工作状态。否则,译码器被禁止,所有的输出端被封锁在高电平。S1S2S1S2S3S1S2S3S1S3S2Y0~Y7S39/25/202437h当译码器处于工作状态时,每输入一个二进制代码将使对应的一个输出端为低电平,而其它输出端均为高电平。也可以说对应的输出端被“译中”。

74LS138输出端被“译中”时为低电平,所以其逻辑符号中每个输出端上方均有“—”符号。Y0~Y79/25/202438h

2.应用举例(1)功能扩展(利用使能端实现)

图3-9用两片74LS138译码器构成4线—16线译码器A3=0时,片Ⅰ工作,片Ⅱ禁止A3=1时,片Ⅰ禁止,片Ⅱ工作扩展位控制使能端仿真

9/25/202439h(2)实现组合逻辑函数F(A,B,C)

比较以上两式可知,把3线—8线译码器74LS138地址输入端(A2A1A0)作为逻辑函数的输入变量(ABC),译码器的每个输出端Yi都与某一个最小项mi相对应,加上适当的门电路,就可以利用译码器实现组合逻辑函数。9/25/202440h例3-4试用74LS138译码器实现逻辑函数:解:因为则9/25/202441h

因此,正确连接控制输入端使译码器处于工作状态,将、、、、经一个与非门输出,A2、A1、A0分别作为输入变量A、B、C,就可实现组合逻辑函数。Y1Y3Y6Y5Y7图3-10例3-4电路图仿真

9/25/202442h3.3.2二-十进制译码器

二—十进制译码器的逻辑功能是将输入的BCD码译成十个输出信号。图3-11二—十进制译码器74LS42的逻辑符号返回9/25/202443h表3-7二-十进制译码器74LS42的功能表译中为0拒绝伪码返回9/25/202444h3.3.3显示译码器3.3译码器返回结束放映9/25/202445h如何用74LS138译码器实现如下逻辑函数?复习9/25/202446h3.3.3显示译码器在数字测量仪表和各种数字系统中,都需要将数字量直观地显示出来,一方面供人们直接读取测量和运算的结果,另一方面用于监视数字系统的工作情况。数字显示电路是数字设备不可缺少的部分。数字显示电路通常由显示译码器、驱动器和显示器等部分组成,如图3-12所示。

返回9/25/202447h图3-12数字显示电路的组成方框图1.数字显示器件数字显示器件是用来显示数字、文字或者符号的器件,常见的有辉光数码管、荧光数码管、液晶显示器、发光二极管数码管、场致发光数字板、等离子体显示板等等。本书主要讨论发光二极管数码管。9/25/202448h(1)发光二极管(LED)及其驱动方式

LED具有许多优点,它不仅有工作电压低(1.5~3V)、体积小、寿命长、可靠性高等优点,而且响应速度快(≤100ns)、亮度比较高。一般LED的工作电流选在5~10mA,但不允许超过最大值(通常为50mA)。

LED可以直接由门电路驱动。

9/25/202449h

图(a)是输出为低电平时,LED发光,称为低电平驱动;图(b)是输出为高电平时,LED发光,称为高电平驱动;采用高电平驱动方式的TTL门最好选用OC门。

图3-13门电路驱动LED(a)低电平驱动(b)高电平驱动R为限流电阻9/25/202450h图3-14七段显示LED数码管(a)外形图(b)共阴型(c)共阳型(2)LED数码管LED数码管又称为半导体数码管,它是由多个LED按分段式封装制成的。LED数码管有两种形式:共阴型和共阳型。公共阴极公共阳极高电平驱动低电平驱动9/25/202451h图3-15七段数码管字形显示方式2.七段显示译码器(1)七段字形显示方式

LED数码管通常采用图3-15所示的七段字形显示方式来表示0-9十个数字。9/25/202452h图3-1674LS49的逻辑符号(2)七段显示译码器

灭灯控制端8421BCD码七段代码

七段显示器译码器把输入的BCD码,翻译成驱动七段LED数码管各对应段所需的电平。

74LS49是一种七段显示译码器。9/25/202453h表3-874LS49的功能表8421BCD码禁止码灭灯状态9/25/202454h译码输入端:D、C、B、A,为8421BCD码;七段代码输出端:abcdefg,某段输出为高电平时该段点亮,用以驱动高电平有效的七段显示LED数码管;灭灯控制端:IB,当IB=1时,译码器处于正常译码工作状态;若IB=0,不管D、C、B、A输入什么信号,译码器各输出端均为低电平,处于灭灯状态。利用IB信号,可以控制数码管按照要求处于显示或者灭灯状态,如闪烁、熄灭首尾部多余的0等。9/25/202455h图3-1774LS49驱动LED数码管电路

图3-17是一个用七段显示译码器74LS49驱动共阴型LED数码管的实用电路。9/25/202456h3.4.3应用举例3.4.1数据选择器的工作原理3.4.2八选一数据选择器74LS1513.4数据选择器返回结束放映3.5加法器3.5.1全加器

3.5.2多位加法器3.6数值比较器9/25/202457h复习LED数码管有哪两种形式?高电平有效的七段显示译码器应驱动哪种LED数码管?9/25/202458h在多路数据传送过程中,能够根据需要将其中任意一路挑选出来的电路,叫做数据选择器,也称为多路选择器,其作用相当于多路开关。常见的数据选择器有四选一、八选一、十六选一电路。

3.4数据选择器9/25/202459h以四选一数据选择器为例。(1)四选一数据选择器的逻辑电路图图3-18四选一数据选择器电路3.4.1数据选择器的工作原理返回地址输入端控制输入端数据输入端输出端9/25/202460h(2)四选一数据选择器的功能表表3-9四选一数据选择器的功能表输入输出S

A1

A0Y0××0100D0101D1110D2111D39/25/202461h3.4.2八选一数据选择器74LS151三个地址输入端A2、A1、A0,八个数据输入端D0~D7,两个互补输出的数据输出端Y和Y,一个控制输入端S。图3-1974LS151的逻辑符号

返回9/25/202462h

表3-1074LS151的功能表

禁止状态工作状态9/25/202463h3.4.3应用举例1.功能扩展

用两片八选一数据选择器74LS151,可以构成十六选一数据选择器。试回忆用两片3-8线译码器74LS138实现4-16线译码器的方法。

利用使能端(控制端)。返回9/25/202464h图3-20用74LS151构成十六选一数据选择器

扩展位接控制端A3=1时,片Ⅰ禁止,片Ⅱ工作A3=0时,片Ⅰ工作,片Ⅱ禁止输出需适当处理(该例接或门)仿真

9/25/202465h2.实现组合逻辑函数比较可知,表达式中都有最小项mi,利用数据选择器可以实现各种组合逻辑函数。组合逻辑函数8选14选19/25/202466h

例3-5试用八选一电路实现解:将A、B、C分别从A2、A1、A0输入,作为输入变量,把Y端作为输出F。因为逻辑表达式中的各乘积项均为最小项,所以可以改写为根据八选一数据选择器的功能,令9/25/202467h具体电路见图3-21:图3-21例3-5电路图D0=D3=D5=D7=1D1=D2=D4=D6=0S=0仿真

9/25/202468hABCF00010010010001111000101111001111真值表对照法注意变量高低位顺序!9/25/202469h

例3-6试用八选一电路实现三变量多数表决电路。表3-11例3-6的真值表ABCF00000010010001111000101111011111

解:假设三变量为A、B、C,表决结果为F,则真值表如表3-11所示。9/25/202470h在八选一电路中,将A、B、C从A2、A1、A0输入,令D3=D5=D6=D7=1D0=D1=D2=D4=0S=0F=Y则可实现三变量多数表决电路,具体电路图请读者自行画出。则9/25/202471h

思考:若用8选1实现4变量的函数,或者用4选1实现3变量的函数,即地址输入端的个数比变量个数小1,如何实现?如:ABCF00010010010001111000101111001111输入输出S

A1

A0Y0××0100D0101D1110D2111D3返回9/25/202472h3.5加法器

算术运算是数字系统的基本功能,更是计算机中不可缺少的组成单元。本节介绍实现加法运算的逻辑电路。3.5.1全加器本章的3.1节讨论过半加器电路,它是不考虑低位进位的加法器。

全加器能把本位两个加数An

Bn

和来自低位的进位Cn-1三者相加,得到求和结果Sn

和该位的进位信号Cn。返回9/25/202473h表3-12全加器的真值表Sn

Cn0000000110010100110110010101011100111111An

Bn

Cn-1由真值表写最小项之和式,再稍加变换得:9/25/202474hSn

Cn0000000110010100110110010101011100111111An

Bn

Cn-1由真值表写最小项之和式,再稍加变换得:9/25/202475h

图3-22全加器(a)电路图(b)逻辑符号由表达式得逻辑图:仿真

9/25/202476h3.5.2多位加法器

74LS283电路是一个四位加法器电路,可实现两个四位二进制数的相加,其逻辑符号如图3-23所示。全加器可以实现两个一位二进制数的相加,要实现多位二进制数的相加,可选用多位加法器电路。返回9/25/202477h图3-2374LS283电路的逻辑符号CI是低位的进位,CO是向高位的进位,A3A2A1A0和B3B2B1B0是两个二进制待加数,S3、S2、S1、S0是对应各位的和。

9/25/202478h多位加法器除了可以实现加法运算功能之外,还可以实现组合逻辑电路。图3-24由74LS283构成的代码转换电路8421BCD码0011余3码例:将8421BCD码转换成余3码。

余3码=8421BCD码+3(即0011)仿真

9/25/202479h3.6数值比较器数值比较器:能够比较数字大小的电路。

1.两个一位数A和B相比较的情况:(1)A>B:只有当A=1、B=0时,A>B才为真;(2)A<B:只有当A=0、B=1时,A<B才为真;(3)A=B:只有当A=B=0或A=B=1时,A=B才为真。ABYA>BYA<BYA=B00001010101010011001返回9/25/202480h图3-2574LS85的逻辑符号如果要比较两个多位二进制数A和B的大小?必须从高向低逐位进行比较。2.四位数值比较器74LS85级联输入便于功能扩展9/25/202481h表3-1374LS85的功能表输入级联输入输出A3,B3A2,B2A1,B1A0,B0IA>BIA<BIA=BFA>BFA<BFA=B10××××××10001××××××010A3=B310×××××100A3=B301×××××010A3=B3A2=B210××××100A3=B3A2=B201××××010A3=B3A2=B2A1=B110×××100A3=B3A2=B2A1=B101×××010A3=B3A2=B2A1=B1A0=B0100100A3=B3A2=B2A1=B1A0=B0010010A3=B3A2=B2A1=B1A0=B0001001A3=B3A2=B2A1=B1A0=B0××10019/25/202482h

3.

部分常用的MSI组合逻辑电路的型号、名称和主要功能表表2-149/25/202483h型号名称主要功能74LS14710线-4线优先编码器

74LS1488线-3线优先编码器

74LS1498线-8线优先编码器

74LS424线-10线译码器BCD输入74LS1544线-16线译码器

74LS46七段显示译码器BCD输入、开路输出74LS47七段显示译码器BCD输入、开路输出74LS48七段显示译码器BCD输入、带上拉电阻74LS49七段显示译码器BCD输入、OC输出74LS15016选1数据选择器反码输出74LS1518选1数据选择器原、反码输出74LS153双4选1数据选择器

74LS2518选1数据选择器原、反码输出,三态74LS854位数值比较器

74LS8668位数值比较器

9/25/202484h型号名称主要功能CC4014710线-4线优先编码器BCD输出CC45328线-3线优先编码器

CC4555双2线-4线译码器

CC45144线-16线译码器有地址锁存CC4511七段显示译码器锁存输出、BCD输入CC4055七段显示译码器BCD输入、驱动液晶显示器CC4056七段显示译码器BCD输入、有选通、锁存CC4519四2选1数据选择器

CC45128路数据选择器

CC40634位数值比较器

CC4014710线-4线优先编码器BCD输出返回9/25/202485h本章小结3.7MSI组合逻辑电路的分析返回结束放映3.7.1分析步骤3.7.2分析举例9/25/202486h复习十六选一的数据选择器应有怎样的输入、输出、选择、控制端?如何用两片八选一数据选择器构成十六选一数据选择器?如何利用八选一数据选择器实现三变量组合逻辑函数?9/25/202487h3.7MSI组合逻辑电路的分析

MSI组合逻辑电路的分析:以中规模集成器件为核心的组合逻辑电路的分析。本节将MSI电路按功能块进行划分,逐块分析各功能块电路,最后得出整个电路功能的分析方法,这种方法称为功能块级的电路分析,适用于更加复杂的逻辑电路分析。

返回9/25/202488h3.7.1分析步骤图3-26功能块组合逻辑电路分析流程图

分析步骤(1)划分功能块(2)分析功能块的逻辑功能(3)分析整体逻辑电路的功能逻辑电路图划分功能块分析各块功能分析整体功能返回9/25/202489h(1)划分功能块首先根据电路的复杂程度和器件类型,视情形将电路划分为一个或多个逻辑功能块。功能块内部,可以是单片或多片MSI或SSI以及扩展组合的电路。分成几个功能块和怎样划分功能块,这取决于对常用功能电路的熟悉程度和经验。画出功能块电路框图有助于进一步的分析。9/25/202490h(2)分析功能块的逻辑功能利用前面学过的常用功能电路的知识,分析各功能块逻辑功能。如有必要,可写出每个功能块的逻辑表达式或逻辑功能表。9/25/202491h(3)分析整体逻辑电路的功能在对各功能块电路分析的基础上,最后对整个电路进行整体功能的分析。如有必要,可以写出输入与输出的逻辑函数式,或列出功能表。应该注意,即使电路只有一个功能块,整体电路的逻辑功能也不一定是这个功能块原来的逻辑功能。9/25/202492h例3-7图3-27是由双4选1数据选择器74LS153和门电路组成的组合逻辑电路。试分析输出Z与输入X3、X2、X1、X0之间的逻辑关系。3.7.2分析举例图3-27例3-7电路图返回9/25/202493h

(1)划分功能块本题只有一块MSI电路,可以只划分一个功能块。

(2)分析功能块的功能通过查74LS153的功能表,知道它是一块双4选1数据选择器。其中:A1、A0是地址输入端,Y是输出端;74LS153的控制输入端为低电平有效;数据选择器处于禁止状态时,输出为0。

解:9/25/202494h

图3-27电路的输出端是Z,Z=1Y+2Y;输入端为X3、X2、X1、X0。当X3=1时,2S=1、1S=0,数据选择器2处于禁止状态,而数据选择器1处于工作状态;当X3=0时,数据选择器1处于禁止状态,数据选择器2处于工作状态。9/25/202495h图3-288选1功能框图

显然,图3-27电路构成了一个8选1数据选择器,其输出为Z,地址输入端为X3、X1、X0。图3-27电路可用图3-28的功能框图来表示。9/25/202496h(3)分析整体电路的逻辑功能把图3-27电路看成一个8选1数据选择器,可得出例3-7电路的功能表。表3-15例3-7电路的功能表X3X2X1X0Z0×××11000110011101001011011000110101110011110

分析电路的功能表,当X3X2X1X0为8421BCD码0000~1001时,电路的输出为1,否则输出为0。可见该电路可实现检测8421BCD码的逻辑功能。9/25/202497h

例3-8图3-29电路由4位二进制超前进位全加器74LS283、数值比较器74LS85、七段显示译码器74LS47及LED数码管组成的电路,请分析该电路的逻辑功能。9/25/202498h图3-29例3-8电路9/25/202499h解:(1)划分功能块电路可分成三个功能块:①加法运算及比较电路,②译码电路,③显示电路。

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论