电子-算力芯片系列之一:FPGA行业深度研究:新领域打开新空间产品力提升加速国产化_第1页
电子-算力芯片系列之一:FPGA行业深度研究:新领域打开新空间产品力提升加速国产化_第2页
电子-算力芯片系列之一:FPGA行业深度研究:新领域打开新空间产品力提升加速国产化_第3页
电子-算力芯片系列之一:FPGA行业深度研究:新领域打开新空间产品力提升加速国产化_第4页
电子-算力芯片系列之一:FPGA行业深度研究:新领域打开新空间产品力提升加速国产化_第5页
已阅读5页,还剩127页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

证券研究报告算力芯片系列之一:FPGA行业深度研究刘双锋电子行业首席分析师liushuangfeng@SAC编号:S1440520070002SFC中央编号:BNU539乔磊电子行业分析师qiaolei@SAC编号:S1440522030002郭彦辉电子行业分析师guoyanhui@SAC编号:S1440520070009于芳博人工智能行业首席分析师yufangbo@SAC编号:S1440522030001发布日期:2022年11月26日FPGA芯片可编程特性具备更强灵活性,技术迭代带来容量和性能提升。FPGA芯片在制造完成后功能并未固定,用户可以根据需要将设计的电路通过专用EDA软件对FPGA芯片进行功能配置,转化为具有特定功能的芯片,且可以多次配置。FPGA较其他芯片灵活性更强,擅长大规模并行计算且能耗较低。FPGA芯片的并行计算的性能由其容量来提供,高容量的FPGA允许部署更多的处理电路,因而带来了更高的处理性能。技术迭代比如先进工艺、先进封装、基本组成单元LUT的电路优化等带来容量和性能提升。FPGA芯片以自身灵活性在各行业里获取市场份额,对产品定义和产品线丰富程度提出高要求。FPGA芯片下游应用广泛,行业保持快速增长。随着数据中心建设,人工智能和自动驾驶等新兴市场的加速发展,FPGA需求将持续增长。根据Gartner预测,2020-2026年全球FPGA市场规模从55.85亿美元增至96.9亿美元,CAGR为9.6%。中国FPGA市场增速领先全球,根据Frost&Sullivan预测,2021-2025年中国FPGA市场规模将从176.8亿元增至332.2亿元,CAGR为17.1%。从下游应用领域来看,通信和工业是FPGA芯片前两大市场,通信市场占比受新技术驱动有望持续提升,汽车为增长最快速的下游市场。行业集中度高,中低容量和成熟制程FPGA满足当前市场主流需求。FPGA芯片行业集中度高,头部企业占据绝大多数市场份额。根据Gartner数据,2021年AMD、Intel、Lattice和Microchip的市占率分别达51%、29%、7%和6%,前四家美国公司占据全球93%的FPGA市场。国内厂商有望从中低容量和成熟制程起步不断突破,目前国内主要的FPGA厂商有紫光国微(含紫光同创)、复旦微电、安路科技等,2021年占据国内市场16%的份额。投资建议:FPGA国产化率仍处于较低水平,头部企业有望在国产化浪潮中占据先机。国内厂商产品认可度不断提升,但在产品丰富度与技术实力仍与海外厂商存在差距,未来成长空间大,我们建议重点关注安路科技、紫光国微和复旦微电。风险提示:中美贸易/科技摩擦升级风险;技术研发进展不及预期;下游市场需求不达预期;市场竞争加剧导致毛利率下降的风险等。2逻辑器件(数字芯片)可以大致分为标准器件和定制芯片两类,一般越偏向定制,逻辑器件的性能(速度)、集成度(门数)和设计自由度等方面越有优势,但设计、制造相关的开发费用越高,从下单到出货的周转时间也越长。其中,标准器件中有一类逻辑器件被称为可编程逻辑器件(ProgrammableLogicDevice,PLD),FPGA是PLD的一种,其比以往(狭义)的PLD设计自由度更高,并有近似于门阵列的构造,故被命名为FPGA(Field-ProgrammableGateArray,现场可编程门阵列)。FPGA最早由Xilinx于1985年推出首款产品,目前其制造工艺已经达到7nm。资料来源:《FPGA原理和结构》,中信建投资料来源:《深度神经网络FFPGA由可编程的逻辑单元(LogicCell,LC)、和外部进行信号交互的输入输出单元(InputOutputBlock,IO)、连接前两种元素的开关连线阵列(SwitchBox,SB)三个部分构成。FPGA的逻辑单元通过数据查找表(look-uptable,LUT)中存放的二进制数据来实现不同的电路功能。LUT本质上是一种静态随机存取存储器(SRAM),其大小由输入端的信号数量决定,常用的查找表电路是四输入查找表(LUT4)、五输入查找表(LUT5)和六输入查找表(LUT6)。查找表输入端越多,可实现逻辑电路越复杂,因此逻辑容量越大。由布尔代数理论可知,对于一个n输入的逻辑运算,不论是何种门运算,最多只有2n种结果,因此若事先将相应结果存放于存储单元,则相当于实现了逻辑电路功能。一般k输入的查找表由2k个SRAM单元和一个2k输入的数据选择器组成。查找表的输入就是内存表的地址信号,输出就是该地址所选字的1位数据。k输入的查找表可以实现22k种逻辑函数。FPGA通过烧写文件配置查找表的内容,从而在相同的电路下实现不同的逻辑功能。FPGA最大的特性是现场可编程性。CPU、GPU、DSP、Memory及各类ASIC芯片在被制造完成之后,其功能就已被固定,用户无法对其硬件功能进行任何修改,而FPGA芯片在制造完成后,其功能并未固定,用户可以根据实际需要,将设计的电路通过专用EDA软件对FPGA芯片进行功能配置,从而将空白的FPGA芯片转化为具有特定功能的集成电路芯片,且可以进行多次不同功能配置。闪存、反熔丝和静态存储器是现代FPGA常用的可编程技术。FPGA通过可编程的开关来控制电路结构从而实现可编程性。这种“可编程”的开关通常使用多种半导体技术实现,FPGA历史上使用过EPROM、EEPROM、闪存、反熔丝和静态存储器(SRAM)等,其中闪存、反熔丝和静态存储器是现代FPGA常用的可编程技术,目前国内公司大部分使用基于静态存储器的可编程技术。采用闪存技术的ActelProASIC采用反熔丝技术的SX-A采用静态存储器技术的ZYNQUltraSCALE静态存储器由两个CMOS反相器构成的触发器和两个传输晶体管(Pass-Transistor,PT)组成。静态存储器利用触发器的双稳态(0和1)记录数据,而数据的写入通过PT进行,PT使用nMOS型晶体管。静态存储器通常根据地址信号来驱动字线,数据的读取也通过PT。因此可以将存储单元输出的VDD到Vth间的高电位通过读取放大器放大后输出。但是,由于FPGA需要一直读取数据,所以在FPGA中数据是直接从触发器读取而非通过PT。采用静态存储器作为可编程开关的FPGA大多在逻辑块中使用查找表(LUT),并使用数据选择器等来切换布线连接。查找表的存储器中保存的就是逻辑表达式的真值表本身,由多位的静态存储器构成。另外,控制数据选择器连接的选择信号也和静态存储器相连。这种FPGA一般称为SRAM型的FPGA,是目前的主流类型。FPGA芯片以包含LUT的可编程逻辑单元LC为基本逻辑单元设计电路,CPU/GPU/ASIC等芯片使用专用数字器件库来设计电路。完成同样的代码,FPGA需要的晶体管数量更多,导致其主频较低。从数据对比来看,FPGA的主频(<500MHz)相比CPU、GPU(1-3GHz)存在较大差距。FPGA芯片的并行计算的性能由其容量来提供,高容量的FPGA允许部署更多的处理电路,因而带来了更高的处理性能。在容量方面,LUT数量、DSP数量、RAM数量和UserIO数量是重要的技术指标,其中LUT数量是FPGA芯片容量的基础性指标。其次,制造工艺、DSP工作频率、动态功耗、SerDes速率和DDR3/DDR4速率等是FPGA芯片重要的技术指标。主频(G) 0E52680V4(CPU)TeslaP100(GPU)uFPGA自诞生来紧随工艺的发展路线,不断使用最先进的制程工艺推出新产品,这主要是由于更先进的制程可以实现更低功耗、更快反应速度、单位面积更多晶体管数量,从而使得芯片性能更佳。2005年后FPGA和ASIC的工艺制程差距逐渐拉大,FPGA和通用处理器一样紧随工艺发展脚步每两年更新一次,而ASIC近十年除游戏主机等一部分应用外,大多数产品仍在130-90nm制程,FPGA所采用的工艺比ASIC领先三四代。Xilinx的FPGA产品有Spartan系列、Artix系列、Kintex系列、Virtex系列,产品工艺主要是45nm、28nm、20nm、16nm。对比Xilinx不同产品的容量不难发现,对于同一系列产品,工艺越先进,对应产品容量越高。0尽管更先进的制程工艺可以为FPGA带来更大的逻辑规模和系统性能,但受制于芯片的物理特性,芯片的面积越大其良率也就越低,因此一部分超大规模的FPGA芯片开始采用硅通孔技术(TSV)将多个裸片堆叠以三维封装的方式实现。资料来源:《先进封装技术综述》,Yole,中信建投资料来源:Xilinx官网,中信建投FPGA芯片的硬件设计将决定FPGA的性能,我们将FPGA硬件设计分为两类,基础组成单元LC结构设计和芯片系统级设计。基本组成单元LC的电路优化,将提升FPGA芯片的容量和性能。当前主流FPGA使用可编程逻辑单元LC主要由内含静态存储单元(SRAM)微结构的LUT组成,SRAM电路存储单bit数据需要6个mos管。SRAM微结构之外,LC结构还包含了可编程性所需要的多路选择器,和构建同步时序电路需要的D触发器,为了机台测试所需要的测试电路等方面。LC结构的设计中的功耗、性能、面积(PowerPerformanceArea,PPA)指标的优化将决定现有工艺下的产品的容量与性能。u优化LC面积将允许在工艺的良率瓶颈下排列更多的LC单元u优化LC功耗将允许不同封装散热条件限制下,排列更多LC的单元u优化LC性能将缩短单个LC处理输入输出信号的延时,允许FPGA芯片运行在更高的主频u灵活多样的下游应用对芯片内的CPU/DSP/MIPI/RF/SerDes/ADC/DAC等IP资源也有丰富的需求,在良率瓶颈的限制下,IP的数量与LUT容量存在竞争FPGA芯片的软件功能从用途上分为两类,配置比特流的生成和软核IP的设计。将用户设计转化为配置FPGA芯片所需要的比特流分为:综合-布局布线-时序分析-功耗分析-比特流生成。FPGA芯片在硬件电路设计过程中,也需要借助ASIC领域的EDA设计工具实现综合-布局布线-时序分析-功耗分析等步骤。FPGA软件设计过程中的布局布线是在已有芯片内的LUT资源和布线通道资源中选择面向设计功能的走线资源,硬件设计过程中的布局布线是完成芯片内可以用布局布线资源的导入。u综合:将Verilog/VHDL设计代码生成为FPGA网表级代码,通常分为编译,展开,优化等步骤。u布局布线:将综合得到的FPGA网表映射到LUT与布线阵列中,通常有logic-driven和timing-driven两种方式。u时序分析:软件中包含LUT与互连线等延时信息,通过反标延时得到网表的总体时序结果,供用户进行关键时序分析。u功耗分析:软件中包含LUT与互连线等功耗信息,通过反标反转率与功耗得到网表的总体功耗结果,供用户进行功耗分析。u比特流生成:将通过时序、功耗分析的FPGA网表转换为配置比特流,放到片外或片内的存储器中。丰富的软核IP库,是FPGA厂商长期致力完善的任务。以基于Serdes协议的接口协议PCIE为例,模拟电路Serd部分大多由FPGA芯片厂商以加密软核IP的方式来提供,用户层面采用调度软核的方式部署常用协议。其余软核IP包括存储块RAM设计、并行国外厂商:Xilinx的Vitis统一软件平台包括一组广泛的开源库,其Vitis加速库为数学、统计、线性代数、视觉即图像处理、数据库及数据分析等提供开箱即用的加速功能,用户可使用高级编程语言C、C++、Python进行编程,对于其编写的现有应用,用户甚至不需修改代码可直接使用。Lattce同样为用户提供国内厂商:安路科技全流程自主开发了专用的EDA软件——TangDynasty,也积累了一批成熟的图像处理和逻辑接口IP供用户使用。紫光同创的PangoDesignSuite和复旦微的Procise等同样是其自主开发的EDA软件。资料来源:Xilinx官网,中信建投资料来源:Lattice官网,中信建投FPGA下游的行业与客户较为分散,Xilinx/Altera/Lattice等公司均有丰富的产品线。不同行业及其应用,除了对FPGA容量需求外,芯片内的硬核IP资源如CPU/DSP/MIPI/SerDes/RF/ADC/DAC等也较为丰富,硬核IP和LUT容量组合出丰富的产品可能性。FPGA芯片以自身灵活性在各行业里获取市场份额,但芯安路科技已为多家客户提供大容量嵌入式eFPGAIP,供客Xilinx的Zynq®UltraScale+™MPSoC器件不仅提供64位处理器可扩展性,同时还将实时控制与软硬件引擎相结合,支持图形、视频、波形与数据包处理。置于包含通用实时处理器和可编程逻辑的平台上,三个不同变体包括双核应用处理器(C00资料来源:各公司官网,中信建投资料来源:各公司官网,中信建投FPGA近百亿美元广阔市场,受益于数据中心和AI等应用,未来5年增长加速。随着数据中心建设,人工智能和自动驾驶等新兴市场的加速发展,FPGA需求将持续增长。根据Gartner预测,2020-2026年全球FPGA出货量从5.11亿颗增至8.25颗,CAGR为8.3%;FPGA市场规模从55.85亿美元增至96.9亿美元,CAGR为9.6%。99876543210 02020E2021E202近几年中国FPGA市场持续扩大增长,整体增速领先全球。根据Frost&Sullivan测算,2020年中国FPGA出货量达到1.6亿颗,市场规模达到150.3亿元。随着国内AI应用的快速发展以及国产替代进程的进一步加速,中国FPGA市场需求量有望以领先全球的速度持续扩大,Frost&Sullivan预计2021-2025年,中国FPGA芯片出货量将从1.9亿颗增至3.3亿颗,CAGR约为15.0%;市场规模将从176.8亿元增至332.2亿元,CAGR约为17.1%。20162020E0通信和工业为最大下游市场,汽车市场份额保持上升趋势。从下游应用市场来看,通信和工业市场份额位居FPGA芯片一二位,同时通信市场份额有望持续提升,而工业市场则呈小幅下降趋势。全球军工、航天FPGA应用市场份额稳定在15%左右。汽车为增长最快速的下游市场,Gartner预计其市场份额将由2020年的5.9%增至2026年的12.3%。消费电子预计将是FPGA芯片份额最小的下游市场,主要是因为FPGA虽然以其灵活性适宜于消费电子快速迭代的节奏,但在具有显著规模效应的消费电子市场,FPGA相比ASIC的成本劣势明显。因此从生命周期来讲,FPGA的应用具有一定局限性。15.2%10.8%10.3%6.1%15.2%11.4%10.6%5.6%15.4%12.3%10.7%4.7%15.4%5.9%11.0%7.3%15.4%11.6%10.5%5.2%15.9%9.8%9.7%6.8%16.4%7.7%10.7%7.8%31.9%31.4%32.7%33.7%33.5%33.4%33.4%28.4%26.0%25.1%23.9%23.8%23.8%23.6%15.2%10.8%10.3%6.1%15.2%11.4%10.6%5.6%15.4%12.3%10.7%4.7%15.4%5.9%11.0%7.3%15.4%11.6%10.5%5.2%15.9%9.8%9.7%6.8%16.4%7.7%10.7%7.8%31.9%31.4%32.7%33.7%33.5%33.4%33.4%28.4%26.0%25.1%23.9%23.8%23.8%23.6%2020E2021E2022E2023E2024E2025E203.9%3.8%3.9%3.8%3.8%3.8%6.3%6.6%6.9%7.4%7.6%7.9%10.7%10.6%10.5%10.5%10.4%10.4%6.3%6.1%5.9%5.8%5.5%5.3%41.3%41.6%41.5%41.5%41.9%42.3%31.5%31.3%31.2%31.1%30.7%30.3%2020E2021E20FPGA高计算速度与灵活性适应通信市场需求。相较于其他类型芯片,FPGA芯片一方面依靠其运算速度可以有效满足通信领域高速的通信协议处理需求,另一方面又可依靠其灵活性以适应通信协议持续迭代的特点。此外,FPGA芯片对于复杂信号、多维信号的处理能力较强,可较好适应日益复杂的网络环境。有线通信领域,FPGA芯片被应用于路由器、交换机中,以实现信号控制、传输加速等功能。无线通信领域,FPGA芯片被应用在无线通信基站和射频处理单元中,集成CPU和FPGA的SoC被应用在室外微基站、室内微基站等无线网络通信中,以单芯片完成商业、住宅、工厂区域的多模覆盖、网络容量增加、人工智能计算、信息安全防控等多样性功能需求。通信是目前FPGA规模最大的应用市场,且随着通讯技术持续的迭代,FPGA应用优势逐步扩大,市场需求仍将保持高增长。未来几年国内外通信FPGA市场规模的复合年增长率均高于FPGA整体,通信市场的应用份额也将稳中有升。根据Gartner数据,2026年全球通信FPGA芯片市场规模将达到32.3亿美元,2020-2026年CAGR为10.4%。Frost&Sullivan预测2025年中国通信应用的FPGA芯片市场规模将达到140.4亿元,2021-2025年CAGR约17.5%。502020E2021E2022E 0在加速转型的工业领域,FPGA大有取代ASIC的趋势。工业4.0是德国与工业界为制造业制定的未来蓝图,也是工业转型升级的指路明灯。工业4.0的大部分应用场景对边缘智能的硬件加速提出了新的需求,要求更低延迟的数据处理能力。另一方面,新设备需要具有可重新编程的能力,以应对标准或者协议的演变。此外,智能工厂的建立离不开大数据处理及AI技术的应用。FPGA提供灵活的解决方案,因此大有取代ASIC的趋势,特别是在工业通讯、电机控制、机器视觉、边缘计算、工业机器人等应用场景。以工业通讯为例,FPGA的可编程性使其构建繁杂通信协议之间的桥梁。传统的工业通信主要考虑小范围内的设备连接层数据传输与交换,各工业以太网之间的传输协议并不相同,无法完全兼容。工业4.0时代,则要求所有的传输协议相同。在升级过程中,不同通信协议标准还会共存很久,各设备之间的数据转换需要一个非常灵活的转换介质,FPGA将有望成为首选目标。高端医疗设备也是FPGA重点应用的泛工业场景,包括:诊断成像:X射线、超声波、CT、MRI和PET;电子医疗:病人监护、生命支持和麻醉设备;心律管理(CRM):起搏系统、植入式心脏除颤器(ICD)和自动体外除颤器(AED);生命科学与医院设备:实验室仪器、放射设备和各种医院设备。FPGA的优势在于:缩短产品上市周期,而且随着标准的发展或需求的变化,FPGA可以在现场进行升级,降低后续硬件升级成本。FPGA能起到良好的硬件加速功能,例如对CT和PET等设备后端计算常用的快速傅立叶变换(FFT)使用自定义流水线并行处理。FPGA与医疗设备一样具有长生命周期。未来全球工业领域市场规模保持稳健增长趋势,国内市场增速领先全球。工业是除通信以外第二大规模的FPGA应用领域,受益于工业智能化、无人化的发展趋势,FPGA高效能、实时性、高灵活性的特点使其在工业领域的需求将保持增长。根据Gartner数据,2026年全球工业FPGA市场规模将达到22.9亿美元,2020-2026年CAGR为6.3%。根据Frost&Sullivan数据,2025年中国工业FPGA芯片市场规模将达到100.8亿元,2021-2025年CAGR约16.1%。50 2020E2021E2022E200 20162017201820192020E资料来源:Lattice,中信建投随着汽车电动化/智能化的发展,FPGA在汽车中的应用越来越广泛。在系统接口及控制领域,FPGA用于控制和驱动电动汽车电机控制系统,连接驾驶系统、仪表盘、雷达、超声波传感器等各种车载设备。在视频桥接和融合领域,FPGA可用于实现多个图像传感器的信号桥接、3D环视视频融合、倒车辅助视频、辅助驾驶视频等功能。在辅助驾驶和自动驾驶领域,FPGA可用于实现机器视觉与目标检测等各种功能。以自动驾驶为例,高阶自动驾驶系统对平台灵活可拓展、高效的能力需求急剧增加,FPGA展现巨大潜力。自动驾驶算法尚在持续发展,FPGA的可重复编程性使算法得到快速部署测试,缩短整体方案的开发周期。此外,FPGA的高吞吐、低延迟和多种类协议支持的优势,也使其良好适配多传感器融合感知的发展需要。汽车FPGA有望成为增速最快下游市场,全球以及中国市场均保持高增长。根据Gartner数据,2026年全球汽车FPGA市场规模将达到11.9亿美元,2020-2026年CAGR为23.8%,对应市场份额将从5.9%提升至12.3%。Frost&Sullivan预测2025年中国汽车FPGA市场规模将达到26.3亿元,2021-2025年CAGR约22.7%。86420a汽车市场规模(亿元)—YOY50 20162017201820192020E2021E2022全球数据中心负载量急剧提升,FPGA可实现运算加速、系统管理等功能。数据中心是全球协作的特定设备网络,用来在网络基础设施上传递、加速、展示、计算、存储数据信息。数据量尤其是实时数据的增长,极大地增加了对数据中心服务器计算、处理能力的需求,Cisco预计全球数据中心负载任务量将从2016年的不到250万个负载任务量增长到2021年的近570万个负载任务量。为了应对复杂多变的应用情景,数据中心服务器和存储器需要FPGA芯片实现逻辑控制、数据转换、功能扩展、系统升级等功能,具体表现为运算加速器、电源管理器、接口控制器等。020162017201820192020EFPGA芯片在数据中心领域主要用于硬件加速,进入门槛高。在数据中心运算处理领域,相比于CPU,FPGA芯片由于其无指令、无需共享内存的体系结构,能够同时提供强大的计算能力和足够的灵活性;相比GPU,FPGA芯片在数据中心具有低延迟及高吞吐的优势;相比ASIC,FPGA芯片在性能、灵活性、同构性、成本和功耗等五个方面可以达到出色的平衡。从2016年开始,微软Azure、亚马逊AWS、阿里云的服务器上都开始部署FPGA加速器用于运算加速。总体来说,用于数据中心运算加速的FPGA具有高技术门槛,目前只有Xilinx、Altera具有成体系的产品。 网络交换机FPGA-交换机连接FPGA加速卡FPGA-网卡连接双插槽CPU服务器随着计算的需求越发多样化,CPU面临着计算能力不足,计算方案不够灵活的约束。FPGA以其灵活性进入了Intel和AMD两大CPU厂商的视线。随着先进封装与异构计算体系的研究,统一而有效的调度CPU、GPU、FPGA、ASIC等资源的体系正在逐渐建立与部署。在协处理领域,近几年出现了一些硬件加速器,比如NPU,编解码加速器,DSPCore,自定义矢量指令集等。然而这些加速器特点是针对某一类特定场景或算子而出现,在无法覆盖到的场景上仍然需要消耗CPU的指令周期来完成。对于这一类场景,集成FPGA的异构计算将有望带来兼容度较高的解决方案。Intel收购Altera后积极探索FPGA作为协处理器的价值,2018年推出IntelXeonGold6138P服务器CPU,将Arria10GX1150FPGA与CPU封装在芯片内,通过IntelUPI协议实现互联。随着PCIe5.0的推出,Intel最新产品线使用基于PCIe5.0的CXL互联协议搭建异构平台,同时推出oneAPI软件供用户调用异构计算平台内的资源。电源管理及热管理:随着数据中心数据吞吐量的爆发增长,主交换机和控制处理器变得越来越复杂,这使得电源管理和热管理变得更加复杂。FPGA依托其高I/O数量、低延迟和进程并行化的优势控制更多I2C通道、LED,并感测更多传感器信号,进而实现对系统整体高效的电源管理及热管理。安全管理:FPGA可通过硬件强制隔离、硬件支持的身份管理和硬件加速身份验证提供额外的安全性。FPGA的现场可编程性带来独特优势,例如攻击者无法通过攻击FPGA供应商来发现与应用程序相关的信息。此外,攻击者无法通过剥离芯片进行逆向工程。未来数据中心将是FPGA市场的重要增长动力,中国市场维持高增速。虽然数据中心基础设施建设的节奏会对相应的FPGA需求带来一定波动,但随着数字化转型的深入推进,数据中心规模将长期保持增长态势,未来数据中心将是FPGA市场的重要增长动力。Gartner预测2026年全球数据中心FPGA市场规模将达到10.3亿美元,2020-2026年CAGR为9.0%。中国数据中心建设Frost&Sullivan预测2025年中国数据中心FPGA芯片市场规模将达到34.6亿元,2021-2025年CAGR约16.6%。数据中心市场规模(亿美元)YOY864202020E2021E2022E250720162017201820192020E2021E2022E2023E2024E2基于人工神经网络的深度学习,是当前人工智能研究的主要方向,深度学习算法是以数据处理为核心,其包含大量的计算操作,如GoogleNet网络模型包含15.5亿浮点操作,ResNet-152网络模型包含了113亿浮点操作等。由于计算量庞大,深度学习通常需要使用硬件加速,FPGA凭借更好的灵活性、更低的开发门槛及更短的开发周期成为优秀的硬件加速器。人工智能算法的硬件芯片分为云侧处理和端侧处理,云侧处理又分为训练和推断两大任务,训练任务多为单指令多数据流的计算,与GPU的架构比较契合,而推断任务通常为单数据多指令流的特点,这要求硬件加速器有低功耗、高性能、低延时等特性,相比GPU,FPGA拥有较高的能效比,更适合推断任务。根据相关论文实验数据,在人工智能具体三个领域中,FPGA在语音识别和自然语言处理领域获得加速效果更明显,在功耗及速度方面优于CPU和GPU,但FPGA在图像识别领域峰值速度不及GPU,仅识别精度略高于后者。FPGA最大的特性是现场可编程特性,其在制造完成后,功能并未固定,用户可根据实际需要,将自主设计的电路通过FPGA芯片公司提供的专用EDA软件进行功能配置,从而将空白的FPGA芯片转化为具有特定功能的集成电路芯片。每颗FPGA芯片均可以进行多次不同功能配置,从而实现不同的功能。因此,FPGA芯片公司不仅提供芯片,还提供FPGA专用EDA软件给客户,即FPGA产品应用通常是软硬件一体的解决方案。人工智能算法具有两个特点,一是模型迭代速度较快,二是模型处理数据量不断增长,且模型复杂度日益提升,因此对硬件加速的需求不断增强。而FPGA由于现场可编程的特性,故十分契合人工智能算法快速迭代的需求。此外针对人工智能算法对硬件加速的需求,GPU提供的加速设计方案是算法适应硬件结构,即通常需要调整人工智能算法来适应GPU的硬件结构、执行模型等,而FPGA软硬件一体的形式使得其为人工智能算法提供的加速设计方案是硬件结构适应算法的方案,可以最大限度保留算法的本身特征,且近些年FPGA开发环境不断丰富,目前已经允许开发人员利用高级编程语言如C、C++或者OpenCL对FPGA进行开发,在很大程度上降低了FPGA开发的难度,缩短了FPGA开发周期,为用户提供了便利。FPGA和GPU同样擅长并行计算,大规模的并行计算是数据科技领域的核心需求,未来随着大数据的规模逐渐攀升,具有更高并行计算能力的FPGA将迎来更广泛的应用。CPU通常被称为标量体系架构,因为其旨在有效处理串行指令,每个时钟周期(IPC)最多处理一条指令,先进的超标量体系架构的CPU可并行GPU可以有效处理矢量数据,通常被称为矢量体系架构。GPU用于计算的硅空间更多,而用于缓存和控制的硅空间更少。GPU擅长执行大规模FPGA架构不固定,可重新配置,其计算引擎由用户定义。当对FPGA进行编程时,已编译的指令成为硬件组件,这些组件在空间上排列在FPGA架构上,并且这些组件都可以并行执行,因此,FPGA体系架构被称为空间体系架构。CPU和GPU每个时钟周期执行单个指令,而FPGA在每个时钟周期内可对不同数据执行指令流。FPGA可以全部执行计算,计算资AI芯片根据实现分为云侧处理和端侧处理,其中云侧即表现为在数据中心服务器中执行训练和推理任务的协处理器。端侧也是AI芯片重要部署区域,随着智能终端对实时响应和多样化应用的需求,越来越多的推断任务被转移到端侧来完成,FPGA具有的现场可编程、可实现定制功能、高吞吐量和低延迟等特点有效地满足了用户对各种神经网络设计的要求,成为适配各种经过压缩优化的神经网络部署和升级的理想选择。Xilinx预计端侧AI芯片的需求将会显著超过数据中心,2025年的市场规模为数据中心的2.7倍。根据Omdia预测,云侧和端侧AI芯片将分别以GPGPU和定制ASIC为主,FPGA的份额分别为6%和2%。根据Frost&Sullivan数据,2025年中国人工智能FPGA芯片市场规模将达到12.5亿元,2021-2025年CAGR约16.9%。GPU-derivedAIASSPProprietarycoreCustomAIASICGPU-derivedAIASSP8642020162017201820192020E2021E2022E2023E2024E2资料来源:Omdia,中信建投资料 4.794.694.7 4320 4.794.694.7 4320在消费电子领域,FPGA芯片可用于智能手机、智能电视、无人机、AR/VR等设备中。FPGA可作为协处理器支持复杂的AI计算,同时缩减产品开发流程,以达到创新功能快速上市抢占市场的目的,通常用于产品生命周期中的前期迭代阶段。Gartner预测全球消费电子FPGA市场规模从2021年呈下降趋势,2026年达到4.5亿美。Frost&Sullivan预测中国消费电子市场FPGA需求保持增长,2025年市场规模将达到17.6亿元,2021-2025年CAGR约13.0%。a消费电子市场规模(亿美元)2020E2021E8642020162017201820192020E2021E2022E2023E2024E2FPGA在航空航天和军事领域的应用越来越多,包括飞行控制、传感器接口和图像处理的无人机系统,军用雷达射频信号处理等。根据Gartner预测,军工、航天特种FPGA市场稳定增长,占FPGA市场整体份额维持在15%左右,预计2026年全球市场规模达到14.9亿美元,2020-2026年CAGR为9.6%。参考Frost&Sullivan对中国民用FPGA市场的规模预测与全球特种FPGA市场份额,我们预计2025年中国特种FPGA市场规模有望达到60.5亿元。86420 2021E2022E2023E2024E2025E02020E2021E海外厂商主导全球FPGA市场,Xilinx和Intel形成双头垄断。全球的FPGA主要供应商包括Xilinx、Intel、Lattice和Microchip等海外芯片设计公司,其中Xilinx优势最为明显。根据Gartner统计数据,以收入口径,2021年Xilinx、Intel、Lattice和Microchip的市占率分别达51%、29%、7%和6%。国内企业持续加大FPGA芯片的研发布局,通过自身技术的突破来满足本土客户的应用需求。目前国内主要的FPGA厂商有紫光国微(含紫光同创)、复旦微电、安路科技等,上述公司2021年在国内市场共占据16%的市场份额,其中安路科技和紫光同创产品结构偏向消费电子、工业控制和通讯等民用领域。500K以下逻辑单元FPGA占主导。按逻辑单元拆分,目前100K以下逻辑单元的FPGA仍是市场需求量最大的部分,其次为100K-500K逻辑单元部分。根据Frost&Sullivan,中国市场2019年以销售额计,100K逻辑单元以下的FPGA占据了38.2%的市场份额,100K-500K逻辑单元的FPGA占据了31.7%的市场份额。28nm-90nm工艺制程FPGA占主导,具有较高性价比。按制程拆分,目前28nm-90nm制程区间内的FPGA由于其较高的性价比,与较高的良品率依然占据了市场的主要地位。此外,由于先进制程产品具有更低功耗与面积和更高的性能,28nm以下制程的FPGA预计将快速发展。根据Frost&Sullivan,中国市场2019年以销售额计,28nm-90nm制程的FPGA占据了63.3%的市场份额,28nm以下制程的FPGA占据了20.9%的市场份额。FPGA创始者,已被AMD收购。Xilinx于1984年成立,并在同年推出了全球第一款FPGA。Xilinx1990年在纳斯达克上市,2022年2月被AMD收购。Xilinx目前有先进产品和核心产品两大类产品系列:先进产品包括Versal、UltraScale+、UltraScale和7系列FPGA、SoC产品,制程涵盖28/20/16/7nm。核心产品主要为45nm以上SPATRAN-6系及更早期的产品。44K-7.3KK数据中心、医学成像、8K/4K视频、无线通信2022财年营收恢复高速增长,数据中心领域增长亮眼。目前Xilinx为全球最大的FPGA供应商,2021财年营收规模31.5亿美元,同比下滑主要受新冠疫情影响。2022财年前三季度公司重拾高速增长,营收同比增长23%。从收入结构来看,AIT(国防、工业等)和通信是主要营收来源,2021年占比分别为44%和30%。从各下游市场增速来看,AIT保持稳定增速,数据中心增长最快,通信业务受贸易限制和5G部署延迟等因素而出现下降。50航天/国防/工业/科研/医疗/测试/模拟FY2019FY2020航天/国防/工业/科研/医疗/测试/模拟40%30%20%10% 40%30%20%10% FY2019FY2020FY2019FY2020Intel收购Altera,FPGA业务当前战略转向协同Intel巩固数据中心领导地位。Altera成立于1983年,为全球第二大FPGA供应商。2015年Altera被Intel收购后划为PSG事业部(Programmablesolutiongroup),2022年起PSG事业部并入DCAI事业部(DatacenterandAIGroup)。DCAI致力于使用英特尔的顶级服务器CPU和FPGA开发数据中心产品,这意味着未来Intel的FPGA业务或将聚焦于数据中心、人工智能等高端应用场景。IntelFPGA目前保有从高端到低端的五大系列产品,其中最新款的Agilex系列采用7nm制程和基于chiplet的设计。近几年FPGA业绩增长缓慢,市场份额有所下滑。Intel营收主要来自CCG(ClientCenterGroup)和DCG(DataCenterGroup)两大事业部,PSG事业部营收占比低于3%,因而FPGA在Intel内部的发展优先级有限。从营收规模来看,2016-2021年IntelPSG事业部营收CAGR仅为3%,其中2019年和2020年分别因为云和企业、通信板块业绩下滑而出现了负增长。从市场份额来看,2014年并入Intel前,Altera占据39%的市场份额,2021年已下滑至29%。50 . XlinxAlteraOtherXlinxAlteraXlinxAlteraOther2014Lattice(NASDAQ:LSCC)专注于中小型、低功耗FPGA,产品主要基于NEXUS和AVANT两大平台设计,其中NEXUS为小型FPGA(1.4mm×1.45mm),对应消费终端市场;AVANT为中型FPGA(15mm×15mm),对应工业、通信、汽车应用。Lattice暂不涉及应用于数据中心加速卡的大型FPGA(55mm×55mm)业务。Lattice预计AVANT和NEXUS两大平台对应的目标市场空间有60亿美元。Lattice产品系列包含通用、视频连接、超低功耗、控制与安全四大类。超低功耗公司营收保持增长趋势,2021年以来增速提升。2018年至今,公司营收维持逐年增长态势。2021年起公司营收开始快速增长,同比增速达到26.3%,实现营收5.2亿美元。2022Q1-Q3公司实现营收4.8亿美元,同比增长29.7%。通信/计算、工业/汽车领域营收高成长,消费营收占比逐步收缩。按下游市场划分营收结构,公司收入主要来源于通信/计算、工业/汽车两大领域,2021年营收分别达到42%和44%。同时,受益于5G建设、工业自动化、智能座舱等需求的高增长,上述领域自2020年以来保持两位数以上增速。消费领域前期的疲软主要源于市场需求减弱,以及公司更加聚焦于营收主力市场,尽管2021年消费营收有所增长,但营收占比由2019年的19%下降至2021年的10%。6543210..2019202030%20%10% 30%20%10% 国内首家专注FPGA的上市公司。安路科技成立于2011年,主营FPGA芯片及配套EDA软件的研发与销售。公司于2021年在上交所科创板成功上市,成为A股首家专注于FPGA业务的上市公司。安路科技已形成了完备的产品矩阵,并积累了优质的客户与产业链资源。公司目前形成了PHOENIX高性能产品系列、EAGLE高性价比产品系列和ELF低功耗产品系列,并持续致力于高容量、高性能的FPGA和FPSoC芯片的研发与拓展,其产品广泛应用于工业控制、网络通信、消费电子、数据中心等领域。公司凭借领先的研发能力、长期的技术积累、可靠的产品质量和优秀的客户服务水平,在国内外积累了良好的品牌认知和优质的客户资源,客户认可度不断提高。此外,公司也与中芯国际、台积电、华天科技等供应商建立了稳定的合作关系。制程逻辑容量应用领域介绍细分系列制程逻辑容量应用领域介绍336-688ELF1130nm2015ELF1系列FPGA定位低成本、低功耗可编程市场。快速上电336-688ELF1130nm2015ELF1器件非常适用于功能扩展、电源管理等应用场景。网络通信工业控制、网络通信、数据中心ELF21.5K-4.5K2018ELF55nmELF2系列FPGA是ELF的第二代产品,定位低功耗可编程市场。无需外部配置器件、低密度逻辑容量、丰富的存储器、高达1网络通信工业控制、网络通信、数据中心ELF21.5K-4.5K2018ELF55nm。ELF31.5K-9.2K201955nmELF3系列FPGA是ELF的第三代产品,定位工业控制、网络通信、数据中心等功能扩展应用市场,最多支持336个IO,满足客户板级功能扩展多样性应用需求。ELF3器件经过功耗与性能优化,使系统设计师在降低成本和功耗的同时又可满足不ELF31.5K-9.2K201955nm工业控制AL3201465nm5.8K-11.1KAL3系列FPGA定位高性价比的逻辑控制市场。AL3器件系列建立在一个优化的工艺基础之上,并通过较低的成本实现较工业控制AL3201465nm5.8K-11.1KEAGLE工业控制、网络通信、数据中心工业控制、网络通信、数据中心工业控制、消费电子工业控制专用EDA软件EAGLE420K2016工业控制、网络通信、数据中心工业控制、网络通信、数据中心工业控制、消费电子工业控制专用EDA软件EAGLE420K201655nmPHOENIX1PHOENIX127K28nm2020PHOENIX1系列采用28nm工艺,支持100K~600K等效逻辑单元、高速运算单元、丰富的存储资源和高达16Gbps的SerDes接口资源,定位高性能可编程逻辑市场。针对高带宽应用场景,PHOENIX1PHOENIX1PHOENIX127K28nm2020满足工业控制、网络通信、数据中心需求。EF2M4555nmEF2M45是嵌入ARM处理器核的FPSoC芯片,单颗芯片实现灵活的硬件可编程系统控制功能,EF2M4555nmFPSoCSWIFT15.8K2021SWIFT1系列是全新低功耗FPSoC产品,芯片集成了逻辑单元、存储单元、视频处理单元、RISC-V处理器核等资源,定位高带宽的视频数据处理和桥接可编程系统芯片市场,在保持低功耗的前提下,提供高达17.6Gbps带宽的MIPI数据收发能SWIFT15.8K2021TangDynastyTangDynasty2014--TangDynasty软件为公司所有FPGA芯片产品系列提供简洁高效的应用设计开发环境。该软件针对每个系列芯片特性进行算法升级和迭代。TangDynastyTangDynasty2014--营收规模快速增长,利润端实现扭亏为盈。公司紧跟下游应用市场需求并积极响应,完成了多个新产品型号量产与用户导入,2021年营业收入达到6.8亿元,2018-2021年CAGR达到186%。为保证产品能够紧跟下游应用市场的需求,缩小与国际领先FPGA企业技术差距,公司始终保持着较高的研发投入,2020-2021年利润端维持亏损状态。2022年随着公司销售规模的进一步扩大,公司实现了利润端扭亏为盈,2022Q1-Q3实现归母净利润0.62亿元营收结构持续优化,高端产品营收占比持续上升。在营收规模扩大的同时,公司高端产品的收入占比也在进一步提升。从制程上看,55nm为目前公司主要收入来源,28nm在2021H1营收占比升至5.1%。从产品系列来看,高端系列的PHOENIX和FPSoC收入占比也在快速上升。2021年营收占比分别达到15.6%和2.6%。987654320201820192020202018201国内民用FPGA领先厂商。紫光同创于2013年由紫光国微出资建立,2021年度完成新一轮增资。紫光同创专注于FPGA及配套EDA的开发与销售,其FPGA业务侧重通信、工业控制、视频监控等民用市场。紫光同创FPGA产品可分为从高端到低端的三大系列:Titan系列、Logos系列和Compact系列。PangoDesignSuite是一款拥有自主知识产权的大规模FPGA开发软件,可以支持千万门级FPGA器件的设计开发。营收规模快速扩张,2021年实现扭亏为盈。紫光同创FPGA取得了发货量和营收的全面快速增长,2019-2021年公司营收从1.02亿元增至7.82亿元。公司前期亏损主要源于FPGA高额研发投入所致,2021年首度实现盈利0.41亿元。(等效8642064202019202020192020率先推出亿门级FPGA,填补国内空白。公司自2004年开始进行FPGA的研发,曾陆续推出百万门级FPGA和千万门级FPGA,65nm千万门级FPGA已稳定加工和批量生产近5年。2018Q2率先推出基于28nm的亿门级FPGA产品,填补了国内空白。自主开发配套EDA设计工具,开启布局14/16nmFPGA和基于自研FPGA的PSoC。1)EDA设计工具:公司已成功自主研发28nm亿门级FPGA配套设计工具ProciseTM,该工具为国内FPGA首款超大规模全流程EDA设计工具;2)下一代FPGA:公司已开启14/16nm十亿门级FPGA的研发,预计于2021-2022年进行流片,于2022年提供产品初样,于2023年实现产品量产;3)PSoC:公司正在28nm工艺制程上研发基于FPGA的青龙系列PSoC,内嵌大容量自研eFPGA模块,并配置APU和多个AI加速引擎,可广泛用于高速通信、信号处理、图像处理、工业控制等应用领域;4)配套平台:高性能、高密度的封装工艺平台及测试平台已初步建成,尚未完全固化;可靠性平台正在有序搭建中。品用品程器件PSoC资料来源:复旦微电年报,中信建投资料来源:复旦微电招股说明书,中信建投公司FPGA业务把握半导体国产化机遇,营收规模与盈利能力快速提升。截至2021年底,公司累计向超过300家客户销售基于28nm工艺制程的相关FPGA产品,上述客户类型包括通信领域、工业控制领域及高可靠领域客户。最近几年公司把握半导体国产化机遇,收入快速增长,2018-2021年,公司FPGA营收从1.55亿元增至4.27亿元,期间CAGR达到40.2%。公司FPGA毛利率也维持上升趋势,2021年达到84.7%。FPGA以特种应用为起点,积极拓展通信、工控领域应用并取得高速增长。公司FPGA业务早期专注于特定应用领域,近几年公司积极拓展通信、工业控制等应用领域,该领域的FPGA销售额占公司整体FPGA销售额的比重大幅上升,2020年达到64.3%。 0201820192020公司连续承接FPGA国家重大科技专项,技术实力国内领先。在可编程逻辑器件领域,公司连续承接了国家“十一五”、“十二五”、“十三五”FPGA国家科技重大专项,拥有自主创新FPGA架构设计技术、工艺适配设计技术和高速低功耗设计技术等,FPGA产品规模最高可达7,000万门级,同时拥有产品系列齐备的CPLD产品,在国内处于领先地位。公司至今已形成了完善的可编程逻辑器件产品体系,并配套自主开发工具。CPLD与FPGA为公司核心业务,近几年营收规模高速增长。得益于国内特种领域对集成电路产品的需求提升,以及集成电路国产化的国家战略,公司凭借多年在数字集成电路领域的研发积累、高可靠的产品性能以及出色的售后服务能力,迅速抓住市场机遇,逻辑芯片、存储芯片、微控制器各大产品线销售收入均实现了较快增长。2020年公司CPLD、FPGA合计营收达到1.54亿元,2018-2020年CAGR达到45.5%,营收占比48.6%。2021年1-9月CPLD、FPGA合计营收达到2.08亿元,超过2020全年营收。采用0.13μm-0.22μmCMOS工艺,采用0.18μmCMOS工艺,最大容采用0.18μmCMOS工艺,最大容020182019高云半导体已推出多款中低密度FPGA,高端产品制程达到22nm。高云半导体成立于2014年,于2015Q1规模量产出国内第一款产业化的55nm工艺400万门的中密度FPGA芯片,2016年第一季度顺利推出国内首颗55nm嵌入式FlashSRAM的非易失性FPGA芯片;2017年实现FPGA芯片的规模出货;2019年,公司发布国内第一颗FPGA车规芯片,并实现规模量产。2022年,公司发布22nm的AroraV系列。--西安智多晶微电子已实现55nm、40nm工艺中低密度FPGA量产目标。公司成立于2012年,总部位于西安,北京设立有EDA软件研究中心。公司团队致力于在LED驱动、视频监控、图像处理、工业控制、4G/5G通信网络、数据中心等各行业应用充分发挥FPGA的方案优势。公司目前已实现55nm、40nm工艺中密度FPGA的量产,并针对性推出了内嵌Flash、SDRAM等集成化方案产品,截至2018年已批量发货2KK片。公司产品Sealion2000/2000s系列已经可以对中低端的AlteraCycloneIV、XilinxSPARTAN-6/7系列的部分低配产品进行一些替代。而随着Seal5000系列的成功推出,则有望形成对AlteraCycloneV、XilinxSPARTAN-6系列部分低配产品的超越。京微齐力是国内首家22nmFPGA量产厂商。京微齐力是国内最早进入自主研发、规模生产、批量销售通用FPGA芯片及新一代异构可编程计算芯片的企业之一。公司拥有超200件专利,具备独立完整的自主知识产权,涵盖FPGA内核设计、SOC架构设计、芯片开发、EDA软件开发、IP开发与集成等全栈技术领域。公司产品将FPGA与CPU、MCU、Memory、ASIC、AI等多种异构单元集成在同一芯片上,市场涵盖国家通信/工业/安防/电力/医疗/消费等核心基础设施。目前,公司产品在65/55/40nm工艺节点上全面实现量产,22nm产品H3C08为国内首颗基于22nm工艺制程并成功量产的FPGA芯片。---易灵思核心团队业界经验丰富,推出国内首款16nmFPGA。易灵思核心团队成员来自赛灵思、英特尔与Microsemi等科技公司早期的专家和管理团队,平均行业经验25年。易灵思FPGA包括Trion和钛金两大系列。40nmTrion系列FPGA提供4K至120K逻辑容量,内嵌硬核MIPI1.5Gbps,硬核DDR31066Mbps等资源,具备小体积、低功耗、大密度等优势。已广泛被国际知名企业采用,应用于机器视觉、工业控制、医疗、LED等行业,在1.5年的时间发货量超过300万颗。芯片具备一致性和可靠性保证,在性能方面可以对标XilinxSpartan6,Intel的CycloneIV以及Cyclone10系列,同时功耗大约只有1/3到1/2,对于低功耗应用,优于传统28nmFPGA。钛金系列是国内首款16nmFPGA,支持16G/25.8GSerDes、LPDDR4、2.5GMIPI接口,提供35K到1KK逻辑,具备超低功耗、超小封装、超大密度的特点。XilinxXC6SLX9AlteraLatticeArora民用市场:从下游行业角度来看,Lattice预计有60亿美金目标市场,这也是国内FPGA厂商发展的路径,同时这些行业本身还有不断迭代的新增需求。Lattice预计2024年全球小型FPGA和中型FPGA市场规模分别为22亿美元和25亿美元,合计市场份额61.0%,占据市场主体。国内FPGA厂商可以参考Lattice的路径,早期基于小型和中型FPGA,逐步拓展到大型FPGA。特种市场:出于国家安全考量,特种应用领域自主可控的重要性排在首要位置,预计在部队信息化和装备国产化的双轮驱动下,特种FPGA国产化率进程将领先于民用市场,国内供应商将迎来发展机遇。资料来源:Lattice,中信建投资料来源:Latti中国为国际大厂最大营收贡献地,为国内厂商留下充足替代空间。目前Xilinx和Lattice的最大营收地区全部来源于中国,占比分别为3成和5成,国内厂商对应可替代的市场空间充足。随着摩尔定律放缓,头部厂商跟随先进工艺的速度也随之下降,给国内企业追赶提供时间窗口。FPGA下游市场为通信、工控、汽车、数据中心、特种应用领域,均有较强的自主可控需求,国内FPGA厂商成长空间广阔。50FY2019FY20205435432102019国内外FPGA厂商在产品丰富度与技术实力存在差距,国内厂商还有巨大提升空间。首先在14nm及以下的先进制程产品区间,国内公司还处于空白阶段。对比同为28nm制程的产品系列,国内公司的产品矩阵丰富度不及海外巨头,同时国内产品在性能上也有一定的差距,例如逻辑容量和SERDES速率。以安路科技高端产品PHOENIX1系列为例,招股说明书中将PH1A100对标XilinxArtix7系列的XC7A100T,而XC7A100T在XIlinx7系列产品中属于中等偏下水平。-5XC7A100T)DSP数量UserIO数量DSP工作频率464~629DDR3/4速率/发布时间XilinxAltera(Intel)200720082009SPARTAN6▲$25%2010201120122013201420152016201720182019VersalAICoreVersalAIPrimeAgilexFAgilexI20202021VersalAIEdgeARTIXUltraSCALE+2022VersalAIPrimiumAgilexMAgilexDAgilexRFDirectARTIX7KINTEX7VIRETX7Zynq-7000KintexUltraScaleVirtexUltraScaleKintexUltraScale+SPARTAN7*ZynqUltraScale+MPSoCVirtexUltraScale+ZynqUltraScale+RFSoC▲$8%CycloneIII▲$20%StratixIV▲$20%CycloneIVStratixV▲$20%MAXVArriaVCycloneVArria10MAX10Cyclone1065nm28nm20nm-14nm10/7nm*注:SPARTAN7为28nm供应链成本增加、业界需求持续高涨,头部大厂涨价,国内公司或将加速替代进程。Intel于2022年10月9日起对FPGA多数产品进行调价,其中新款涨价10%,旧款涨价20%。Xilinx计划自2023年1月起将系列产品价格将上调8%,Spartan6系列产品价格将上涨25%,Versal系列产品价格不变。此轮涨价涉及国产厂商对标的产品,有望加速国产替代。UserIO数量LatticeXO3XO3LF-////XilinxXC6SLX16/Altera//////安路科技:u公司是国内领先的FPGA芯片供应商,新品持续推出,成长空间打开。公司积极进行全新系列产品的开发,推进高性能FPGA产品、系统级FPSoC产品、车规级FPGA芯片等新产品系列及前沿技术研发,中高端PHOENIX系列占比进一步提升。公司推出新品SF1系列PSoC器件,该产品集成逻辑单元、存储单元、视频处理单元、RISC-VMCU硬核等资源,具有低功耗、高集成度和高灵活性等优势,主要用于视频图像接口转换和工业控制交互。公司数款新产品进入测试阶段,部分产品型号预计将在2022年下半年开始客户导入。

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

最新文档

评论

0/150

提交评论